Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove

Engineering

Fabrication of Surface Acoustic Wave Devices on Lithium Niobate

Published: June 18, 2020 doi: 10.3791/61013

Summary

Two fabrication techniques, lift-off and wet etching, are described in producing interdigital electrode transducers upon a piezoelectric substrate, lithium niobate, widely used to generate surface acoustic waves now finding broad utility in micro to nanoscale fluidics. The as-produced electrodes are shown to efficiently induce megahertz order Rayleigh surface acoustic waves. 

Abstract

Manipulation of fluids and particles by acoustic actuation at small scale is aiding the rapid growth of lab-on-a-chip applications. Megahertz-order surface acoustic wave (SAW) devices generate enormous accelerations on their surface, up to 108 m/s2, in turn responsible for many of the observed effects that have come to define acoustofluidics: acoustic streaming and acoustic radiation forces. These effects have been used for particle, cell, and fluid handling at the microscale—and even at the nanoscale. In this paper we explicitly demonstrate two major fabrication methods of SAW devices on lithium niobate: the details of lift-off and wet etching techniques are described step-by-step. Representative results for the electrode pattern deposited on the substrate as well as the performance of SAW generated on the surface are displayed in detail. Fabrication tricks and troubleshooting are covered as well. This procedure offers a practical protocol for high frequency SAW device fabrication and integration for future microfluidics applications.

Introduction

Relying on the well-known inverse piezoelectric effect, where the atomic dipoles create strain corresponding to the application of an electric field, piezoelectric crystals such as lithium niobate LiNbO3 (LN), lithium tantalite LiTaO3 (LT), can be used as electromechanical transducers to generate SAW for microscale applications1,2,3,4,5,6. By enabling the generation of displacements up to 1 nm at 10-1000 MHz, SAW-driven vibration overcomes the typical obstacles of traditional ultrasound: small acceleration, large wavelengths, and large device size. Research to manipulate fluids and suspended particles has recently accelerated, with a large number of recent and accessible reviews7,8,9,10.

Fabrication of SAW-integrated microfluidic devices requires fabrication of the electrodes—the interdigital transducer (IDT)11—on the piezoelectric substrate to generate the SAW. The comb-shape fingers create compression and tension in the substrate when connected to an alternating electric input. The fabrication of SAW devices has been presented in many publications, whether using lift-off ultraviolet photolithography alongside metal sputter or wet etching processes10. However, the lack of knowledge and skills in fabricating these devices is a key barrier to entry into acoustofluidics by many research groups, even today. For the lift-off technique12,13,14, a sacrificial layer (photoresist) with an inverse pattern is created on a surface, so that when the target material (metal) is deposited on the whole wafer, it can reach the substrate in the desired regions, followed by a “lift-off” step to remove the remaining photoresist. By contrast, in the wet etching process15,16,17,18, the metal is first deposited on the wafer and then photoresist is created with a direct pattern on the metal, to protect the desired region from “etching” away by a metal etchant.

In a most commonly used design, the straight IDT, the wavelength of the resonant frequency of the SAW device is defined by the periodicity of the finger pairs, where the finger width and the spacing between fingers are both Equation/419. In order to balance the electric current transmission efficiency and the mass loading effect on the substrate, the thickness of the metal deposited on the piezoelectric material is optimized to be about 1% of the SAW wavelength20. Localized heating from Ohmic losses21, potentially inducing premature finger failure, can occur if insufficient metal is deposited. On the other hand, an excessively thick metal film can cause a reduction in the resonant frequency of the IDT due to a mass loading effect and can possibly create unintentional acoustic cavities from the IDTs, isolating the acoustic waves they generate from the surrounding substrate. As a result, the photoresist and UV exposure parameters chosen vary in the lift-off technique, depending upon different designs of SAW devices, especially frequency. Here, we describe in detail the lift-off process to produce a 100 MHz SAW-generating device on a double-sided polished 0.5 mm-thick 128° Y-rotated cut LN wafer, as well as the wet etching process to fabricate the 100 MHz device of identical design. Our approach offers a microfluidic system enabling investigation of a variety of physical problems and biological applications.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

1. SAW device fabrication via the lift-off method

  1. Perform wafer solvent cleaning in a Class 100 clean room facility by immersing the 4” (101.6 mm) LN wafer into acetone, followed by isopropyl alcohol (IPA), then deionized water (DI water), each in a sonication bath for 5 min. Pick up the wafer and blow the surface dry with nitrogen (N2) gas flow to remove the remaining DI water from the wafer. 
    CAUTION: Perform the acetone and IPA immersions in a fume hood. Avoid inhalation and skin contact with IPA. Avoid skin and eye contact with acetone. Do not swallow.
    NOTE: Do not allow any fluid to evaporate upon the wafer; if any dust or debris on the surface, start this step over. 
  2. Place the wafer onto a hotplate at 100 °C to prebake for 3 min.
    NOTE: Because of the pyroelectric property of LN, it will generate static charges and associated stress within the wafer during heating and cooling. It is recommended to put the wafer onto a piece of aluminum (Al) foil after removing it from the hot plate to release the static charges and avoid breaking it. 
  3. Place the wafer onto a spin coater. Using a dropper, cover about 75% of the wafer’s surface with negative photoresist (NR9-1500PY). Program a speed of 500 rpm with an acceleration of 3,000 rpm/s for 5 sec and then a speed of 3,500 rpm with an acceleration of 3,000 rpm/s for 40 sec, to produce a layer of photoresist around 1.3 µm.
    CAUTION: Perform spin coating in a fume hood. Inhalation of photoresist fumes can cause headaches. 
    NOTE: The thickness may vary depending on the condition of the photoresist and the spin coater used, even with the same spin settings. The photoresist may be spun beyond the edge and onto the wafer’s obverse edge; this must be removed by using an acetone-doused swab. Left present, the photoresist will stick the wafer to the hotplate during the soft bake.
  4. To soft bake, place the wafer onto a hotplate at 100 °C, ramp the temperature up to 150 °C, hold it at 150 °C for 1 min. Then move the wafer from the hotplate, and let the wafer cool in the air to room temperature (RT).
    NOTE: Due to the pyroelectric effect, if the temperature of the LN wafer is suddenly changed, for example, by directly transferring the LN wafer onto the hotplate or Al foil at 150 °C, the thermal shock within the wafer will likely shatter it. The presence of nonuniform metal on the surface, such as electrodes, significantly enhances this risk. In applications where the transparency of the LN is not important, consider using so-called “black” LN or more accurately reduced LN, which is dark brown and translucent but has negligible pyroelectricity.
  5. Transfer the wafer to the mask aligner (MLA150) for ultraviolet exposure. Expose the photoresist with an energy dose of 400 mJ/cm2 at 375 nm. The dose required may vary depending on the mask design and the age and condition of the photoresist. 
    NOTE: The wave propagation direction induced by IDTs should be along the X-propagating direction in order to effectively generate SAW. In other words, this implies the “fingers” of the IDT should be perpendicular to the X-axis direction. Typical LN wafer manufacturers place the primary (larger) wafer flat (straight edge alongside of wafer) perpendicular to the X-axis, so your IDT fingers should be parallel to this flat. Some manufacturers introduce a second (smaller) wafer flat to help indicate the Y- and Z-axis directions, but this detail is unimportant for SAW generation. Manufacturers often request specifications for the surface finish of the wafer; if you require a transparent wafer, request double-sided optically polished wafers. However, keep in mind that LN is birefringent, so any object illuminated with standard laboratory light and seen through the material will produce not one but two images. Overcoming this problem is discussed later. Single-side polished LN is a better choice for SAW generation if you do not need to see through the wafer, because spurious acoustic waves are diffused by the rough back surface.
  6. Place the wafer onto a hotplate at 100 °C for 3 min for a post-exposure bake. Then transfer it onto Al foil and allow it to cool to RT.
    NOTE: The patterns should be visible after the post-exposure bake. If not, consider stripping the photoresist and restarting the process over from step 1.1 above.
  7. Develop the wafer by placing it in a beaker filled with pure RD6 developer for 15 sec. Gently shake the beaker during development. Immerse the wafer into DI water for 1 min, and then rinse the wafer under DI water flow. Finally, use dry N2 flow to remove the remaining DI water from the wafer. Never let any fluid evaporate on the wafer surface. 
    CAUTION: Develop the wafer in a fume hood. Avoid breathing in vapors or contacting the developer with eyes and skin.
    NOTE: The photolithography is complete after this step. The protocol can be paused here.
  8. Hard bake the wafer on a hotplate at 100 °C for 3 min. Then transfer it onto Al foil and allow it to cool to RT. 
    NOTE: This step is to remove any moisture from the wafer and photoresist to prevent later outgassing during sputtering.
  9. For electrode sputter deposition, place the wafer into a sputter deposition system. Vacuum the chamber to 5 x 10-6 mTorr. Use a 2.5 mTorr argon flow, sputter chromium (Cr) with a power of 200 W for 5 nm as an adhesion layer, followed by sputtering Al with a power of 300 W for 400 nm to form the conductive electrodes.
    NOTE: Deposition time should be calculated from the expected thickness and the deposition rate. Titanium (Ti) can be used instead of chromium, though the removal process is more difficult, because Ti is tougher. Gold (Au) is also commonly deposited as electrodes. However, for higher frequency SAW devices, Al should replace Au to avoid the mass loading effects of the Au IDT fingers, which reduce the local SAW resonant frequency under the IDT, forming an acoustic cavity from which the SAW can only escape with significant loss.
  10. For the lift-off process, transfer the wafer into a beaker and immerse in acetone. Sonicate at medium intensity for 5 min. Rinse with DI water and dry the wafer with N2 flow.
    CAUTION: Use acetone in a fume hood. Avoid inhalation and skin or eye contact with acetone. Do not swallow.
    NOTE: The protocol can be paused here.
  11. Use a dicing saw to dice the entire wafer into small pieces of chips as SAW devices for further applications. 
    NOTE: The process is complete. The protocol can be paused here. 
    NOTE: Instead of a saw, a diamond-tipped wafer scribe (or even a glass cutter) can be used to dice the LN wafer with some practice, though due to the anisotropy of LN it is important to scribe and break the wafer first along scribe lines perpendicular to the X-axis, followed by those lines along the X-axis.

2. SAW device fabrication via the wet etching method

  1. Wafer solvent cleaning: In a Class 100 clean room facility by immersing the 4” (101.6 mm) LN wafer in acetone, followed by IPA, then DI water, each in a sonication bath for 5 min. Pick up the wafer and dry the surface using N2 to remove the remaining DI water from the wafer. 
    CAUTION: Use acetone and IPA in a fume hood. Avoid inhalation and skin contact with IPA. Avoid acetone contact with skin and eyes. Do not swallow.
  2. Place the wafer onto a hotplate at 100 °C for thermal treatment for 3 min. Then transfer it onto Al foil to cool down to RT.
  3. Place the wafer into a sputter deposition system. Vacuum the chamber to 5 x 10-6 mTorr. Use argon flow at 2.5 mTorr, sputter Cr with a power of 200 W for 5 nm as an adhesion layer, followed by sputtering Au with a power of 300 W for 400 nm to form the conductive electrodes.
    NOTE: The protocol can be paused here.
  4. Place the wafer onto a spin coater. Using a dropper, cover about 75% of the wafer’s surface with positive photoresist (AZ1512). Program a speed of 500 rpm with an acceleration of 3,000 rpm/s for 10 sec and then a speed of 4,000 rpm with an acceleration of 3,000 rpm/s for 30 sec, ultimately producing a layer of photoresist around 1.2 µm. 
    CAUTION: Perform spin coating in a fume hood. Inhalation of photoresist fumes can cause headaches.
  5. To soft bake, place the wafer onto a hotplate at 100 °C for 1 min. Then transfer it onto Al foil and allow it to cool to RT.
  6. Transfer the wafer to the mask aligner (MLA150) for ultraviolet exposure. Expose the photoresist with an energy dose of 150 mJ/cm2 at 375 nm. The dose required may vary depending on the mask design and the age and condition of the photoresist.
  7. Place the wafer into a beaker filled with pure AZ300MIF developer for 30 sec. Gently shake the beaker during development. Immerse the wafer into DI water for 1 min, then rinse the wafer under DI water flow. Finally, use dry N2 flow to remove the remaining DI water from the wafer. Never let any fluid evaporate on the wafer surface.
    CAUTION: Avoid contacting AZ300MIF with skin or eyes. Do not swallow.
  8. Immerse the wafer into a beaker filled with Au etchant for 90 sec, gently shaking the beaker. After rinse the wafer under DI water flow, dry with N2 flow to remove the remaining DI water from the wafer. Never let any fluid evaporate on the wafer surface.
    CAUTION: Gold etchant can be hazardous to the eyes and skin, and will cause respiratory irritation. This step requires more personal protective equipment (PPE), such as safety glass, black neoprene gloves, apron, etc.
  9. Immerse the wafer into a beaker filled with Cr etchant for 20 sec, gently shaking the beaker. After rinse the wafer under DI water flow, dry with N2 flow to remove the remaining DI water from the wafer. Never let any fluid evaporate on the wafer surface.
    CAUTION: Chromium etchant can cause eye, skin, and respiratory irritation. This step also requires more PPE.
  10. Clean the (sample) wafer, by putting it into acetone, followed by IPA, and DI water in a sonication bath for 5 min each. Pick up the wafer and dry with N2 gas flow over the surface of the wafer to remove the remaining DI water from the wafer.
    CAUTION: Use acetone in a fume hood. Avoid inhalation and skin contact acetone with skin and eyes. Do not swallow.
    NOTE: This step is to remove the undesired photoresist on the wafer. The protocol can be paused here.
  11. Use a dicing saw to dice the entire wafer into discrete SAW devices for further use. 
    NOTE: The process is complete. The protocol can be paused here.

3. Experimental setup and testing

  1. Observe the SAW device under bright-field optical microscopy.
    NOTE: There are possibly scratches across the metal layers on the LN. Generally they will not cause a notable influence of the device performance, as long as the scratches are not deep enough to result in an open circuit.
  2. For SAW actuation, attach absorbers at both ends along the propagation direction of the SAW device to prevent reflected acoustic waves from the edges. 
  3. Use a signal generator to apply a sinusoidal electric field to the IDT at its resonant frequency of around 100 MHz. An amplifier should be connected to amplify the signal. 
  4. Use an oscilloscope to measure the actual voltage, current and power applied onto the device. The amplitude and frequency response of the SAW are measured by a laser Doppler vibrometer (LDV); the SAW-actuated droplet motion is recorded using a high-speed camera attached to the microscope.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

The IDT to be measured is designed to have a resonant frequency at 100 MHz, as the the finger width and the spacing between them are 10 μm, producing a wavelength of 40 μm. Figure 1 shows the SAW device and IDT fabricated using this method.

Using an oscillating electrical signal matched to the resonant frequency of the IDT, SAW can be generated across the surface of the piezoelectric material. The LDV measures the vibration via the Doppler effect on the surface, and through signal processing, information such as amplitude, velocity, acceleration, and phase could be acquired and displayed using the software. We illustrate the frequency response under a frequency sweep from 90 to 105 MHz, with an input power of 140 mW, a peak-to-peak voltage of 70 V, and peak-to-peak current of 720 mA. As Figure 2B indicates, the amplitude of the SAW is 19.444 pm at a resonant frequency of 96.5844 MHz. The slight reduction in frequency from the 100 MHz design is attributed to the mass loading of the metal IDT electrodes. Figure 2A illustrates the LDV-measured vibration of the SAW on the surface, shown to be propagating from the IDTs. The standing wave ratio (SWR) is calculated to be 2.06, determined by using the ratio of maximum amplitude to minimum amplitude (SWR = 1 for a pure traveling wave while SWR = ∞ for a pure standing wave), suggesting a good traveling wave has been obtained here.

We also demonstrated the motion of a sessile droplet actuated by the SAW device, under a single frequency signal input (80.6 mW) at its resonance (96.5844 MHz). A 0.2 μL droplet is pipetted on LN about 1 mm away from the IDT (see Figure 3A). When the SAW propagates and encounters the water droplet upon the surface, it “leaks” into the liquid at the Rayleigh angle, because of the impedance difference from LN to water, and calculated as the ratio of sound speed in these two media, 

Equation 1

The jetting angle shown in Figure 3B confirmed the presence of SAW.

Figure 1
Figure 1: Images of fabricated devices. (A) A gold-electrode IDT with 7 mm aperture on an LN substrate for 100 MHz SAW generation and propagation. (B) The fingers of the IDT. Scale bar: 200 μm. (The gratings on the left are reflectors to prevent energy loss.) The inset illustrates the fingers at a greater magnification. Scale bar: 50 μm. Please click here to view a larger version of this figure.

Figure 2
Figure 2: LDV measurement of the SAW device. (A) A snapshot of the traveling wave generated by the IDT. The SAW present upon the LN substrate as it propagates from the IDT. The phase has been determined by scanning the LDV head to measure in multiple locations, with the phase referenced against the input electrical signal. (B) A frequency response (amplitude vs. frequency) of the SAW device from 90 MHz to 105 MHz includes its resonance at 96.5844 MHz with 19.444 pm amplitude at the input level of 140 mW from the LDV. Please click here to view a larger version of this figure.

Figure 3
Figure 3: SAW-induced droplet jetting. (A) The experimental setup for SAW-induced sessile drop actuation on LN. Scale bar: 5 mm. (B) SAW is propagating from the left to right in the images. The droplet jetting, at approximately the Rayleigh angle (22°) occurs at 80.6 mW power input. Scale bar: 1 mm. Please click here to view a larger version of this figure.

Figure 4
Figure 4: Scheme for photoresist left on the substrate. (A) When positive photoresist is used, it has an undesirable trapezoidal shape after development. Depositing metal on such a surface makes the subsequent lift-off process difficult and prone to failure. (B) However, using a negative photoresist produces an inverted trapezoidal shape with overhang, making it far easier to dissolve the underlying photoresist and remove the metal during lift-off. Please click here to view a larger version of this figure.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

SAW devices fabricated from either method are capable of generating useful traveling waves on the surface, and these methods underpin more complex processes to produce other designs. The resonant frequency is usually a little lower than the designed value, due to the mass loading effect of the metal deposited on top. However, there still some points worth discussing to avoid problems. 

Lift-off method
The choice of photoresist is important. It is possible to use a positive photoresist for the fabrication, which, nevertheless, will be more difficult. Because the unexposed photoresist is dissolved, the part left on the substrate will form a trapezoidal shape, especially with underexposure, as exaggerated in Figure 4A. The metal sputtered on the top of such a photoresist will prevent the solvent from penetrating and result in difficulties in removing it during the lift-off step. On the other hand, UV-exposed regions of a negative photoresist are removed, and, as shown in Figure 4B, an inverted trapezoidal is typically formed with overhang that makes lift-off step much easier. 

Apart from the lift-off problem of positive photoresist, the fingers will eventually be slightly narrower than designed, i.e., the spacing between them will be slightly larger, due to the trapezoidal shape. With negative photoresist, the spacing is smaller. These effects slightly change the resonant frequency from the design intent.

When using negative photoresist, the UV exposure dose is crucially important. Due to the variety of equipment, photoresists, and reagents available today, the exposure time required in your fabrication process will very likely vary. Observation of the fabricated device result can guide you in trying to determine what went wrong. Over-exposure will cause the fingers to be narrower and the spacing wider than designed. Under-exposure may leave some of the photoresist after development, in which case the metal in the desired area will peel off together with the thin layer of the remaining photoresist after lift-off. Sometimes people tend to use a single polished LN wafer, as mentioned above, which is opalescent. The time and dose required for UV exposure with such a wafer will be increased, since the light is diffused at the back. 

Wet etching method
The key step for this method is to ensure the photoresist is completely dissolved from the area where metal needs to be etched away, otherwise the etchant will be blocked and the lithography will fail.

As the metal etching is isotropic, it occurs both through and across the metal layer, making the fingers narrower than designed. Negative photoresist is therefore a better choice in this technique to reduce the undesired feature loss. 

Limitations
Both methods are limited to fabricating feature sizes to greater than a few micrometers. According to our experience in our facilities, the limit can be pushed to as small as 2-3 μm. If submicron features are required, other fabrication techniques may be called upon.

Subscription Required. Please recommend JoVE to your librarian.

Disclosures

The authors have nothing to disclose.

Acknowledgments

The authors are grateful to the University of California and the NANO3 facility at UC San Diego for provision of funds and facilities in support of this work. This work was performed in part at the San Diego Nanotechnology Infrastructure (SDNI) of UCSD, a member of the National Nanotechnology Coordinated Infrastructure, which is supported by the National Science Foundation (Grant ECCS-1542148). The work presented here was generously supported by a research grant from the W.M. Keck Foundation. The authors are also grateful for the support of this work by the Office of Naval Research (via Grant 12368098).

Materials

Name Company Catalog Number Comments
Absorber Dragon Skin, Smooth-On, Inc., Macungie, PA, USA Dragon Skin 10 MEDIUM
Amplifier Mini-Circuits, Brooklyn, NY, USA ZHL–1–2W–S+
Camera Nikon, Minato, Tokyo, Japan D5300
Chromium etchant Transene Company, INC, Danvers, MA, USA 1020
Developer Futurrex, NJ, USA RD6
Developer EMD Performance Materials Corp., Philidaphia, PA, USA AZ300MIF
Dicing saw Disco, Tokyo, Japan Disco Automatic Dicing Saw 3220
Gold etchant Transene Company, INC, Danvers, MA, USA Type TFA
Hole driller Dremel, Mount Prospect, Illinois Model #4000 4000 High Performance Variable Speed Rotary
Inverted microscope Amscope, Irvine, CA, USA IN480TC-FL-MF603
Laser Doppler vibrometer (LDV) Polytec, Waldbronn, Germany UHF-120 4” double-side polished 0.5 mm thick 128°Y-rotated cut lithium niobate
Lithium niobate substrate PMOptics, Burlington, MA, USA PWLN-431232
Mask aligner Heidelberg Instruments, Heidelberg, Germany MLA150 Fabrication process is performed in it.
Nano3 cleanroom facility UCSD, La Jolla, CA, USA
Negative photoresist Futurrex, NJ, USA NR9-1500PY
Oscilloscope Keysight Technologies, Santa Rosa, CA, USA InfiniiVision 2000 X-Series
Positive photoresist AZ1512 Denton Discovery 18 Sputter System
Signal generator NF Corporation, Yokohama, Japan WF1967 multifunction generator Wafer Dipper 4"
Sputter deposition Denton Vacuum, NJ, USA Denton 18
Teflon wafer dipper ShapeMaster, Ogden, IL, USA SM4WD1

DOWNLOAD MATERIALS LIST

References

  1. Ding, X., et al. Standing surface acoustic wave (SSAW) based multichannel cell sorting. Lab on a Chip. 12 (21), 4228-4231 (2012).
  2. Langelier, S. M., Yeo, L. Y., Friend, J. UV epoxy bonding for enhanced SAW transmission and microscale acoustofluidic integration. Lab on a Chip. 12 (16), 2970-2976 (2012).
  3. Rezk, A. R., Qi, A., Friend, J. R., Li, W. H., Yeo, L. Y. Uniform mixing in paper-based microfluidic systems using surface acoustic waves. Lab on a Chip. 12 (4), 773-779 (2012).
  4. Schmid, L., Weitz, D. A., Franke, T. Sorting drops and cells with acoustics: acoustic microfluidic fluorescence-activated cell sorter. Lab on a Chip. 14 (19), 3710-3718 (2014).
  5. Schmid, L., Wixforth, A., Weitz, D. A., Franke, T. Novel surface acoustic wave (SAW)-driven closed PDMS flow chamber. Microfluidics and Nanofluidics. 12 (1-4), 229-235 (2012).
  6. Shi, J., Mao, X., Ahmed, D., Colletti, A., Huang, T. J. Focusing microparticles in a microfluidic channel with standing surface acoustic waves (SSAW). Lab on a Chip. 8 (2), 221-223 (2008).
  7. Friend, J., Yeo, L. Y. Microscale acoustofluidics: Microfluidics driven via acoustics and ultrasonics. Reviews of Modern Physics. 83 (2), 647 (2011).
  8. Ding, X., et al. Surface acoustic wave microfluidics. Lab on a Chip. 13 (18), 3626-3649 (2013).
  9. Destgeer, G., Sung, H. J. Recent advances in microfluidic actuation and micro-object manipulation via surface acoustic waves. Lab on a Chip. 15 (13), 2722-2738 (2015).
  10. Connacher, W., et al. Micro/nano acoustofluidics: materials, phenomena, design, devices, and applications. Lab on a Chip. 18 (14), 1952-1996 (2018).
  11. White, R. M., Voltmer, F. W. Direct piezoelectric coupling to surface elastic waves. Applied Physics Letters. 7 (12), 314-316 (1965).
  12. Smith, H. I., Bachner, F. J., Efremow, N. A High-Yield Photolithographic Technique for Surface Wave Devices. Journal of the Electrochemical Society. 118 (5), 821-825 (1971).
  13. Bahr, A. Fabrication techniques for surface-acoustic-wave devices. Proc. Int. Specialists Seminar on Component Performance and Systems Applications of Surface Acoustic Wave Devices. , (1973).
  14. Smith, H. I. Fabrication techniques for surface-acoustic-wave and thin-film optical devices. Proceedings of the IEEE. 62 (10), 1361-1387 (1974).
  15. Wilke, N., Mulcahy, A., Ye, S. R., Morrissey, A. Process optimization and characterization of silicon microneedles fabricated by wet etch technology. Microelectronics Journal. 36 (7), 650-656 (2005).
  16. Madou, M. J. Fundamentals of microfabrication: the science of miniaturization. , CRC press. (2002).
  17. Köhler, M. Etching in Microsystem Technology. , Wiley. (1999).
  18. Brodie, I., Muray, J. J. The physics of micro/nano-fabrication. , Springer Science & Business Media. (2013).
  19. Dentry, M. B., Yeo, L. Y., Friend, J. R. Frequency effects on the scale and behavior of acoustic streaming. Physical Review E. 89 (1), 013203 (2014).
  20. Morgan, D. Surface acoustic wave filters: With applications to electronic communications and signal processing. , Academic Press. (2010).
  21. Pekarcikova, M., et al. Investigation of high power effects on Ti/Al and Ta-Si-N/Cu/Ta-Si-N electrodes for SAW devices. IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control. 52 (5), 911-917 (2005).

Tags

Fabrication Surface Acoustic Wave Devices Lithium Niobate Piezoelectric Substrates Cleaning Pre-break Hotplate Aluminum Foil Spin Coater Negative Photoresist Wafer Surface Area Photoresist Thickness Bake Hotplate Temperature Ultraviolet Energy Mask Aligner Energy Dose
Fabrication of Surface Acoustic Wave Devices on Lithium Niobate
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Mei, J., Zhang, N., Friend, J.More

Mei, J., Zhang, N., Friend, J. Fabrication of Surface Acoustic Wave Devices on Lithium Niobate. J. Vis. Exp. (160), e61013, doi:10.3791/61013 (2020).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter