Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove
Click here for the English version

Engineering

Fabricação de Baixa Temperatura de Nanotubos de Carbono Vertical Interconexões Compatível com Semiconductor Tecnologia

Published: December 7, 2015 doi: 10.3791/53260

Introduction

Cobre e tungstênio, os metais que são actualmente utilizados para as interconexões em tecnologia state-of-the-art de muito grande escala de integração (VLSI), estão se aproximando de seus limites físicos em termos de confiabilidade e condutividade elétrica 1. Enquanto transistores down-dimensionamento geralmente melhora seu desempenho, ele realmente aumenta a resistência ea densidade de corrente das interconexões. Isto resultou em interconexões dominando o desempenho do circuito integrado (IC) em termos de atraso e consumo de energia 2.

Os nanotubos de carbono (CNT) têm sido sugeridos como alternativa para o Cu e W metalização, especialmente para interconexões verticais (vias) como facilmente pode CNT sido cultivadas verticais 3. CNT tenha sido demonstrado que possuem uma excelente fiabilidade eléctrica, permitindo um até 1000 vezes mais elevada do que a densidade de corrente de Cu 4. Além disso, a CNT não sofrem de superfície e contorno de grão de dispersão, que está a aumentar o resistivity de Cu em escala nanométrica 5. Finalmente, CNT foram mostrados para ser excelentes condutores térmicos 6, que podem ajudar no tratamento térmico em chips VLSI.

Para a integração bem sucedida da tecnologia CNT em VLSI, é importante que os processos de crescimento para o CNT é tornado compatível com o fabrico de semicondutores. Isto exige que o baixo crescimento da temperatura do CNT (<400 ° C), utilizando materiais e equipamentos que são considerados compatíveis e escalável para a fabricação em grande escala. Embora muitos exemplos de vias de teste CNT tem sido demonstrado na literatura 7,8,9,10,11,12,13,14, a maioria destes Fe utilizar como catalisador o que é considerado como um contaminante no fabrico de IC 15. Além disso, a temperatura de crescimento utilizados em muitos destes trabalhos é muito maior do que o limite superior de 400 ° C. Preferencialmente CNT deve ainda ser cultivadas abaixo de 350 ° C, a fim de permitir a integração com baixo-k dieléctricos modernos ou flexívelsubstratos.

Aqui é apresentado um método para o cultivo de escalável CNT a temperaturas tão baixas como 350 ° C, utilizando como catalisador Co 16. Este método é de interesse para a fabricação de diferentes estruturas eléctricas que consistem em alinhados verticalmente CNT em circuitos integrados, variando de interconexão e eletrodos para capacitores super e dispositivos de emissão de campo. O catalisador de metal de Co é muitas vezes usado no fabrico de circuitos integrados para a fabricação de silicieto de 17, enquanto o estanho é um material de barreira usado muitas vezes 7. Além disso, nós demonstramos um processo para a fabricação de vias de teste CNT enquanto apenas utilizando técnicas de fabricação de semicondutores padrão. Com isso, vias de teste CNT são fabricados, inspecionado por microscopia eletrônica de varredura (MEV) e espectroscopia Raman, e eletricamente caracterizada.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

Atenção: Por favor, consulte todas as fichas de dados de segurança do material (MSDS) relevantes antes de usar. Vários dos produtos químicos utilizados no presente processo de fabricação são altamente tóxicos e cancerígenos. Os nanomateriais podem ter riscos adicionais em comparação com o seu homólogo granel. Utilize todas as práticas de segurança adequadas quando trabalhar com equipamentos, produtos químicos ou nanomateriais, incluindo o uso de controles de engenharia (extractor de fumo) e equipamentos de proteção individual (óculos de segurança, luvas, roupas de sala limpa).

1. Alinhamento marcador de definição para Litografia

  1. Comece com grau industrial polido single-sided Si (100) bolachas com qualquer n ou p-type doping.
  2. Revestir a bolacha com 1,4 uM de fotorresistente positivo. Executar uma 90 seg hexametildissilazano (HMDS) de tratamento a 130 ° C para promover a adesão do foto-resistente, seguido de arrefecimento da bolacha em uma placa fria, spin-coating à velocidade apropriada (3.500 rpm), e um 90 seg coza macio umt 95 ° C.
  3. O uso da máscara foto-litografia e uma ferramenta de exposição expor as marcas de alinhamento, dose de exposição de 120 mJ / cm 2.
  4. Realize um único processo de desenvolvimento poça. Executar um 90 seg 115 ° C coza pós-exposição, seguido por 60 sec desenvolvimento utilizando desenvolvedor e asse dura 90 seg a 100 ° C para curar a resistir.
  5. Usar um microscópio para inspeccionar se as aberturas na parte de resistir são as dimensões correctas.
  6. Etch 120 nm de Si usando corrosão por plasma de cloro. Esta espessura confere um bom contraste para os sistemas de alinhamento automático da ferramenta de exposição utilizado neste trabalho. Por exemplo, utilizando um plasma indutivo acoplado (ICP): 20/40 sccm de O2 / CF 4, 5 mTorr, 60/500 W cilindro / potência de RF ICP, 10 seg avanço óxido de decapagem, seguido por 80/40 sccm Cl 2 / HBr , 60 mTorr, 20/500 W placa / potência ICP RF, 35 seg Si gravar.
  7. Use um descascador de plasma de oxigênio para remover o fotorresiste (1 kW, 400 sccm O 2 com endpodetecção int e 2 min overetch). À medida que o revestimento fotoprotector é curado pelo solvente como acetona plasma normal não pode ser utilizado.
  8. Limpe as bolachas. Primeiro colocá-los durante 10 min em 99% HNO 3, seguido de enxaguamento com água desionizada até que a resistividade da água é de 5 mohms (limpo orgânico). Após este limpa as bolachas durante 10 min em HNO3 65% a 110 ° C, seguido de enxaguamento com água desionizada até que a resistividade da água é de 5 mohms (metal limpo). Use um secador para secar rinser as bolachas.

2. metal inferior e Interlayer dielétrica Deposição

  1. Use pulverização por magnetrão a depositar a camada de metal da parte inferior através do teste. Uma pilha de três camadas metálicas tem de ser depositado: 500 nm de Ti, de 50 nm de TiN, Ti e 100 nm. A primeira camada de Ti é reduzir a resistência da pilha, a lata é a camada de suporte para o crescimento efectivo CNT, e o topo Ti é para proteger o TiN contra danos plasma quando condicionamento da camada de SiO2 12 2, de novo, a 350 ° C temperatura do substrato.
  2. Usando deposição de vapor químico melhorado por plasma (PECVD), depositar um 1 um espessa camada de SiO2. Aqui ortossilicato de tetraetilo (TEOS) é usado como precursor a uma temperatura de cilindro de 350 ° C.
    1. Verifique a espessura da camada de SiO 2, utilizando uma ferramenta adequada, por exemplo um reflectómetro ou elipsometria.
  3. Revestir a bolacha com 1,4 uM de fotorresistente positiva, começando com um tratamento de 90 seg HMDS a 130 ° C, seguido de arrefecimento da bolacha em uma placa fria, spin-coating à velocidade apropriada (3.500 rpm), e um 90 seg suave cozer a 95 ° C.
  4. O uso da máscara foto-litografia e uma ferramenta de exposição, expor o padrão desejado de aberturas, que será mais tarde a gravadas em SiO2 to formar as vias, alinhados com os marcadores de alinhamento, dose de exposição de 140 mJ / cm 2.
  5. Realizar um único processo de desenvolvimento de uma poça de partida com 90 seg 115 ° C de pós-exposição assar, seguido por 60 seg desenvolvimento utilizando revelador e coze dura 90 segundos a 100 ° C.
  6. Usar um microscópio para inspeccionar se as aberturas na parte de resistir são as dimensões correctas e se a sobreposição para os marcadores de alinhamento está correcto.
  7. Plasma gravar as aberturas de contacto no SiO 2. Por exemplo, usar um etcher plasma triodo com C 2 F 6 / CHF 3 36/144 sccm a 180 mTorr e 300 W de potência de RF. Se necessário, efectuar testes de taxa de corrosão sobre uma bolacha de teste, a fim de minimizar mais de gravura a 5% -10% no tempo.
    Nota: Embora o Ti é resistente ao ataque químico reactivo neste flúor química, a exposição prolongada ao plasma resultará na corrosão física da camada de Ti. Se a camada de estanho é exposta ao plasma isso terá um influenzae negativoce sobre o crescimento da CNT 12. Não use condicionamento úmido, pois isso irá resultar em muito alargamento das aberturas, tornando o top de metalização na parte 4 problemático.
  8. Retirar a camada de Ti sacrificial por ataque úmido em 0,55% HF durante 60 segundos. Depois de gravar lavar as bolachas com água DI até a resistividade da água é de 5 mohms e usar um secador para secar rinser as bolachas.
    Nota: Usando um microscópio pode ser verificado se a camada de Ti está gravado, a camada de estanho terá uma cor marrom-ouro, enquanto o Ti é cinza metálico.

3. Catalisador Deposição e Crescimento CNT

  1. Evapora-se 5 nM de Co utilizando um evaporador e-beam. Bombear para baixo até pelo menos 2x10 -6 Torr, e aquecer as bolachas a 60 ° C, utilizando lâmpadas sob vácuo antes de depositar para remover qualquer película de água. A foto-resiste usado para definir as aberturas de contato é mantido na bolacha de proporcionar auto-alinhamento do catalisador para as aberturas de contacto no SiO 2.
  2. Removero Co fora das aberturas de contacto por lift-off. Para a co, verificou-se que o tetra-hidrofurano (THF) dá os melhores resultados de lift-off e crescimento a baixas temperaturas. N-metil-2-pirrolidona (NMP), que foi previamente utilizado para a descolagem Fe após evaporação, foi encontrada a danos Co demasiado tal forma a impedir qualquer crescimento CNT alinhados. Coloque a bolacha durante 15 minutos num banho de ultrassons com THF a 35 ° C. Lavar com água desionizada, durante 5 min e secar utilizando uma pistola de Spinner ou azoto.
  3. Inspecione o wafer debaixo de um microscópio e verificar se há resíduos de resistir. Se os resíduos permanecem realizar um tratamento mais ultra-som em THF, e, opcionalmente, use um cotonete macio especial para fins de lift-off para limpar manualmente longe resíduos.
  4. Execute o crescimento CNT usando deposição de vapor químico de baixa pressão (LPCVD). Utilize a seguinte receita: 8 min de pré-recozimento a 350 ° C com 700 sccm de H 2 a 80 mbar, seguido de crescimento CNT por adição de 50 sccm C 2 H 2. A 350 ° C, 60min de crescimento dá cerca de 1 uM de CNT. Se for necessário efectuar um teste de crescimento para ajustar a altura, que devem ser da mesma espessura que a camada de SiO2. Resfriar o reator e limpar usando N2.
  5. Use um microscópio eletrônico de varredura para verificar a altura do CNT no interior das aberturas sob 45 ° de inclinação, ou através da preparação de uma secção transversal.
  6. Inspeccionar as amostras utilizando espectroscopia de Raman para determinar a cristalinidade do CNT 18.

4. Topside Metalização

  1. Use pulverização catódica para depositar o topo metal. Como Ti é um bom metal para o contactar CNT 19, primeiro por pulverização catódica 100 nm de Ti, seguido por 2 mm de Al (1% Si) sem quebrar o vácuo.
  2. Revestir a bolacha com 3,1 uM de fotorresistente positiva com viscosidade mais elevada, a partir de um tratamento de 90 seg HMDS a 130 ° C, seguido de arrefecimento da bolacha em uma placa fria, spin-coating a 3.000 rpm, e um cozimento macio 90 seg a 95° C.
  3. O uso da máscara foto-litografia e uma ferramenta de exposição expor o padrão de metal superior alinhada com as marcas de alinhamento, dose de exposição de 420 mJ / cm 2, o foco de -1.
  4. Realize um único processo de desenvolvimento poça. Isso começa com um 90 seg 115 ° C pós-exposição de bolos, seguido por 60 sec desenvolvimento utilizando desenvolvedor e asse dura 90 seg a 100 ° C.
  5. Usar um microscópio para inspeccionar se as linhas no resistir são das dimensões correctas e se a sobreposição para os marcadores é correcta.
  6. Etch a pilha de Ti / Al utilizar gravação por plasma de cloro. Por exemplo, utilizando um plasma indutivo: 30/40 sccm Cl 2 / HBr, 5 mTorr, 40/500 poder W Platen / ICP RF com detecção de endpoint e 80% overetch usando 15/30 sccm Cl 2 / HBr.
  7. Use um descascador de plasma de oxigênio para remover o fotorresiste (1 kW, 400 sccm O 2 com a detecção de endpoint e 2 min overetch). Se a cobertura não é completa de metal (isto é, existem orifícios em torno daCNT) usar um solvente orgânico (por exemplo, NMP) para remover o material fotosensitivo, a fim de evitar danos no plasma para o CNT.
  8. Limpe as bolachas. Colocá-los durante 10 min em 99% HNO 3, seguido de enxaguamento com água desionizada até que a resistividade da água é de 5 mohms (limpo orgânico). Use um secador para secar rinser as bolachas.

5. Medições

  1. Use um microscópio eletrônico de varredura de acordo com as instruções do fabricante para verificar o top metalização das bolachas.
    Nota:. Como as amostras são electricamente condutora ter para ser usado sem passos de tratamento adicionais, se necessário, a bolacha pode ser clivado mecanicamente, a fim de verificar o CNT completa através de usar uma inclinação amostra de 90 °, resultando em imagens, como mostrado na Figura 3 e as amostras podem ser directamente montado no SEM. Geralmente, tensões de aceleração de 15 kV ou 20 pode ser utilizado, mas, se a camada de SiO2 está a carregar-se demasiado este pode ser reduzido tO 5 kV.
  2. Efectuar as medições de 4 pontos sonda IV, utilizando uma estação sonda, em combinação com um parâmetro analisador semicondutor, tal como descrito na Figura 1 e na Vollebregt et ai. 16.
    Nota: Normalmente uma varredura tensão de -0,5 a 0,5 V é suficiente, como a queda de potencial através de uma interligação ideal é pequena. Ao usar uma configuração de sonda de 4 pontos a resistência de contato das agulhas da sonda e as resistências de fio da configuração são omitidos.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

O design da estrutura de medição utilizado neste trabalho podem ser encontrados na Figura 1. Empregando uma tal estrutura a medição da resistência feixe CNT e as resistências de contacto de metal-CNT pode ser determinada com precisão, como sonda e resistências de arame são contornadas. A resistência do pacote é uma medida para a qualidade e densidade do pacote CNT. A fim de determinar os feixes de resistência de contacto de comprimentos diferentes devem ser medidos.

Uma imagem típica SEM de CNT cultivadas a 350 ° C durante 60 min tomada da parte superior antes da metalização a 45 ° de inclinação é mostrada na Figura 2. Uma tal imagem é útil para verificar se o tempo de crescimento da CNT é definida correctamente, de modo a obter o mesmo comprimento do que a espessura da camada de SiO 2. Uma secção transversal preparado por clivagem mecânica inspeccionados por SEM do mesmo wafer depois metalização é mostrado na Figura 3. Isto pode be utilizada para determinar o alinhamento da CNT, a sua densidade (por exemplo, ser contagem do número de CNT por unidade de comprimento), e se um de alta resolução SEM é utilizado para determinar o seu diâmetro. Também a área de contacto entre o CNT e as camadas de metal podem ser investigados.

Os espectros de Raman de co-cultivadas CNT a 350 ° C é apresentado na Figura 4. A espectroscopia de Raman é uma técnica poderosa para investigar a cristalinidade do CNT 18, e pode por exemplo ser usada para optimizar os parâmetros de crescimento CNT, a fim de se obter o mais alto qualidade CNT. IV medições foram realizadas utilizando quatro estruturas sonda de ponta e são apresentados na Figura 5. Quando o comportamento é linear IV indica contato ôhmico entre o CNT e os contatos de metal. A partir do declive da resistência eléctrica pode ser determinada. A partir da resistência e a dimensão dos feixes a resistividade pode ser calculado, para o que estes feixes CNT é comparado coma literatura na Figura 6.

figura 1
Figura 1. Projeto de 4 pontos estrutura de medição da sonda utilizada neste trabalho. Na figura a camada de amarelo escuro indica o estanho, os tubos pretos os feixes CNT, e a camada metálica da pilha de Ti e Al. A camada de sacrifício de Ti é omitida para maior clareza e o óxido é semi-transparente. Conexões de sonda para medições de sonda de 4 pontos elétricos são indicadas. Por favor clique aqui para ver uma versão maior desta figura.

Figura 2
Figura 2. Top-view imagem SEM de um pacote CNT. Isto mostra a 2 m de largura CNT pacote crescido em uma abertura de contacto que foi gravado dentroo SiO2. Esta figura foi modificada a partir de 16, com a permissão da Elsevier. Por favor clique aqui para ver uma versão maior desta figura.

Figura 3
Figura 3. SEM secção transversal através de CNT. Secção transversal de um teste de 2 m de largura e 1 uM longo CNT através preparados utilizando clivagem mecânica depois da metalização. Esta figura foi modificada a partir de 16, com a permissão da Elsevier. Por favor clique aqui para ver uma versão maior desta figura.

Figura 4
Figura 4. Espectro de Raman de um feixe CNT cultivadas utilizando um Co t 350 ° C. Os nomes das bandas Raman são indicados. A curva preta exibe os dados de medição matérias. Para todas as faixas de um encaixe Lorentzian é realizada (curvas verde tracejada), exceto para a banda D ', que é montado por um Gaussian 18. Por favor clique aqui para ver uma versão maior desta figura.

Figura 5
Figura 5. IV medições das vias de teste da CNT com diâmetros diferentes. Os símbolos representam os dados de medição, enquanto a linha sólida indica uma relação linear de mínimos quadrados de montagem para os dados de medição. Indicam-se as resistências eléctricas de diferentes vias, tal como determinada a partir do declive da regressão linear. Esta figura foi modificada a partir de 16, com a permissão da Elsevier.tp_upload / 53260 / "target =" _ blank 53260fig5large.jpg "> Clique aqui para ver uma versão maior desta figura.

Figura 6
Figura 6. Comparação das CNT resistividade pacote com valores da literatura. A resistividade é calculado a partir da resistência e da via dimensões. Ele é comparado com os valores da literatura, e Vias CNT fabricados a diferentes temperaturas, utilizando o método descrito neste trabalho. Esta figura foi modificada a partir de 16, com a permissão da Elsevier. Por favor clique aqui para ver uma versão maior desta figura.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

A Figura 1 mostra uma vista geral esquemática da estrutura fabricada neste trabalho, e que foi utilizado para as medições de sonda de 4 pontos. À medida que o potencial é medido por meio de sondas que transportam nenhuma corrente, a queda de potencial exacto (VH-VL) através do feixe CNT central e os contactos para o metal pode ser medido. Maior diâmetro feixes CNT são usadas para contactar a camada de estanho inferior das almofadas de contacto, a fim de reduzir a resistência total para os actuais forçam sondas e maximizar a queda de potencial através da CNT feixe central.

Como pode ser visto a partir da Figura 2, o CNT foram cultivadas com sucesso dentro das aberturas gravadas em SiO 2 com um comprimento de aproximadamente o mesmo que a profundidade do furo (1 ^ M). É crucial que o comprimento da CNT é aproximadamente a mesma que a profundidade do furo, a fim de alcançar revestimento isolante da parte superior de contacto de metal. Os pacotes aparecer unIform, o que também ajuda na revestimento isolante do metal. A linearidade e alinhamento vertical dos tubos pode claramente ser visto na secção transversal mostrada na Figura 3. Pela contagem, a densidade do feixe CNT foi estimada em cerca de 5x10 10 tubos / cm 2. Usando a microscopia electrónica de transmissão, o diâmetro médio dos tubos foi encontrado como sendo de 8 nm, como foi mostrado em outros lugares 16. Devido às baixas temperaturas de crescimento das paredes CNT conter muitos defeitos fazendo a determinação do número de paredes difíceis. Os tubos aparentam ter um núcleo oco, embora tenham sido observadas cruzamentos de bambu. A secção transversal mostra também a camada de estanho inferior, e a camada de Ti de sacrifício que é parcialmente removido sob SiO 2, durante o ataque químico molhado. Se as aberturas forem colocados fechados entre si o tempo de gravação da camada de sacrifício de Ti pode ter de ser optimizada para minimizar underetch para evitar a delaminação óxido. Devido ao condicionamento seco do furo, oo espaçamento entre SiO2 e CNT feixe é mínima, o que é essencial para evitar a sputtered Ti e Al a formação de curto-circuitos em torno do feixe CNT.

Usando os dados Raman a cristalinidade (ou qualidade) da CNT pode ser investigado. À medida que as diferentes bandas de Raman são próximos uns dos outros de desconvolução das bandas é necessário, conforme já descrito 18. A partir dos dados de Raman na Figura 4, é evidente que uma banda de D e D 'forte pode ser observado, que são causadas por espalhamento Raman com defeitos, enquanto a banda L está relacionado com a ligação CC. As outras duas bandas Raman são características fracas que são incluídos para encaixe mais exacto.

Sabe-se que uma baixa temperatura de crescimento dos resultados gerais em uma menor qualidade CNT 18. Normalmente, o rácio D ao longo intensidade g (i D / L) é usado para avaliar a qualidade dos materiais de grafite, o que é 1,1 na Figura 4. Como se temen mostrado por, por exemplo, Ferrari e Robertson 20, o cuidado deve ser tomado quando usando apenas este rácio banda. Com o aumento da qualidade da CNT, o primeiro I D / g Razão aumenta, até que uma certa quantidade de cristalização é atingido, após o que a proporção diminui monotonicamente. Devido à temperatura de crescimento muito baixo, o CNT neste trabalho parecem ter uma cristalinidade inferior a este limiar 16. Nestes casos, a largura total a metade do máximo da banda de D pode ser utilizado para comparar amostras CNT fabricadas em diferentes condições de processo 18. Pode esperar-se que a baixa qualidade do CNT irá influenciar significativamente o desempenho eléctrico.

A julgar pelo comportamento linear quase completa das características IV na Figura 5, os contactos entre o CNT e as camadas metálicas superior e inferior são óhmico. A resistência do feixe diminui com o diâmetro, o que é de se esperar que mais CNT pode conduzir em parallel para pacotes maiores. O bom contacto entre o CNT e os metais é atribuído à utilização de Ti 19, e estanho, que é mais resistente contra a oxidação 21. Além disso, verificou-se que, devido à falta de quaisquer passos de revestimentos dieléctricos de crescimento após o CNT (utilizando, por exemplo em vidro de centrifugação-), algo que é frequentemente utilizada na literatura em combinação com polimento mecânico-químico (CMP) 22,23, a resistência de contato com a CNT é baixa devido à incorporação das dicas da CNT na parte superior do metal 24.

Quando se comparam os valores de resistividade do CNT feixes com a literatura, como é feito na figura 6, os resultados estão entre os valores médios na literatura. No entanto, a temperatura de crescimento utilizada neste trabalho é recorde de baixa. Os resultados de Yokoyama et ai. 13 são os mais baixos de resistividade relatado na literatura, utilizando apenas um C de temperatura de crescimento superior a 40 °. No entanto, o equipamento utilizado para par Cotigo deposição em seu trabalho é provável que não escalável para grande volume de fabricação. É evidente que a resistividade diminui com o aumento da temperatura de crescimento, o que pode ser vantajoso para a aplicação permitindo temperaturas de crescimento mais elevadas. Quando se comparam a resistividade da CNT feixes de interligação com metais tradicionais como Cu (1,7 μΩ-cm), é evidente que é necessária uma drástica redução da resistividade. Melhorar a qualidade da CNT e da densidade pacote, por meio da otimização das condições de crescimento, serão necessários. Isto tem de ser feito, sem aumentar a temperatura de crescimento, a fim de permitir a integração com materiais de baixo-k modernas e substratos flexíveis.

Assim, nós demonstramos uma técnica para a integração de baixa temperatura de crescimento e integração CNT em fabricação de semicondutores padrão. Esta técnica tem sido utilizada para fabricar estruturas de CNT através do teste e, recentemente, tem sido aplicada para a fabricação de CNT supercapacitores 25 </ sup>.

Subscription Required. Please recommend JoVE to your librarian.

Materials

Name Company Catalog Number Comments
Si (100) wafer 4" International Wafer Service Resisitivity: 2-5 mΩ-cm, thickness: 525 µm 
Ti-sputter target (99.995% purity) Praxair
Al (1% Si)-sputter target (99.999% purity) Praxair
Co (99.95% purity) Kurt J. Lesker
SPR3012 positive photoresist Dow Electronic Materials
MF-322 developer Dow Electronic Materials
HNO3 (99.9%) KMG Ultra Pure Chemicals
HNO3 (69.5%) KMG Ultra Pure Chemicals
HF 0.55% Honeywell
Tetrahydrofuran JT Baker
Acetone Sigma-Aldrich
ECI3027 positive photoresist AZ
Tetraethyl orthosilicate (TEOS) Praxair
N2 (99.9990%) Praxair
O2 (99.9999%) Praxair
CF4 (99.9970%) Praxair
Cl2 (99.9900%) Praxair
HBr (99.9950%) Praxair
Ar (99.9990%) Praxair
C2F6 (99.9990%) Praxair
CHF3 (99.9950%) Praxair
H2 (99.9950%) Praxair
C2H2 (99.6000%) Praxair
EVG 120 coater/developer EVG
ASML PAS5500/80 waferstepper ASML
SPTS Ωmega 201 plasma etcher SPTS Used for Si and metal etching
SPTS Σigma sputter coater SPTS
Novellus Concept One PECVD LAM
Drytek 384T plasma etcher LAM Used for oxide etching
CHA Solution e-beam evaporator CHA
AIXTRON BlackMagic Pro CVD tool AIXTRON Carbon nanotube growth
Philips XL50 scanning electron microscope FEI
Tepla 300 PVA TePla Resist plasma stripper
Avenger rinser dryer Microporcess Technologies
Leitz MPV-SP reflecometer Leitz
Renishaw inVia Raman spectroscope Renishaw
Agilent 4156C parameter spectrum analyzer Agilent
Cascade Microtech probe station Cascade Microtech

DOWNLOAD MATERIALS LIST

References

  1. International Technology Roadmap for Semiconductors. , Available from: http://public.itrs.net (2013).
  2. Sun, S. C. Process technologies for advanced metallization and interconnect systems. Technical digest of the IEEE International Electron Devices Meeting. , 765-768 (1997).
  3. Robertson, J. Growth of nanotubes for electronics. Mater. Today. 10 (1-2), 36-43 (2007).
  4. Wei, B. Q., Vajtai, R., Ajayan, P. M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79 (8), 1172-1174 (2001).
  5. Rossnagel, S. M., Wisnieff, R., Edelstein, D., Kuan, T. S. Interconnect issues post 45nm. Technical digest of the IEEE International Electron Devices Meeting. , 89-91 (2005).
  6. Pop, E., Mann, D., Wang, Q., Goodson, K., Dai, H. Thermal Conductance of an Individual Single-Wall Carbon Nanotube above Room Temperature. Nano Lett. 6 (1), 96-100 (2006).
  7. Chiodarelli, N., et al. Measuring the electrical resistivity and contact resistance of vertical carbon nanotube bundles for application as interconnects. Nanotechnology. 22 (8), 085302 (2011).
  8. Choi, Y. -M., et al. Integration and Electrical Properties of Carbon Nanotube Array for Interconnect Applications. Proceedings of the Sixth IEEE Conference on Nanotechnology. , 262-265 (2006).
  9. Dijon, J., et al. Ultra-high density Carbon Nanotubes on Al-Cu for advanced Vias. Technical digest of the IEEE International Electron Devices Meeting. , 33-34 (2010).
  10. Kreupl, F., et al. Carbon nanotubes in interconnect applications. Microelectron. Eng. 64 (1-4), 399-408 (2002).
  11. Vereecke, B., et al. Characterization of carbon nanotube based vertical interconnects. Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials. , 648-649 (2012).
  12. Vollebregt, S., Ishihara, R., Derakhshandeh, J., vander Cingel, J., Schellevis, H., Beenakker, C. I. M. Integrating low temperature aligned carbon nanotubes as vertical interconnects in Si technology. Proceedings of the 11th IEEE Conference on Nanotechnology. , 985-990 (2011).
  13. Yokoyama, D., et al. Electrical Properties of Carbon Nanotubes Grown at a Low Temperature for Use as Interconnects. Jpn J. App. Phys. 47 (4), 1985-1990 (2008).
  14. Van der Veen, M. H., et al. Electrical Improvement of CNT Contacts with Cu Damascene Top Metallization. Proceedings of the IEEE International Interconnect Technology Conference. , 193-195 (2013).
  15. Istratov, A. A., Hieslmair, H., Weber, E. R. Iron contamination in silicon technology. Appl. Phys. A. 70, 489-534 (2000).
  16. Vollebregt, S., Tichelaar, F. D., Schellevis, H., Beenakker, C. I. M., Ishihara, R. Carbon nanotube vertical interconnects fabricated at temperatures as low as 350 °C. 71, 249-256 (2014).
  17. Kikkawa, T., Inoue, K., Imai, K. Cobalt silicide technology. Silicide Technology for Integrated Circuits. , The Institution of Engineering and Technology. 77-94 (2004).
  18. Vollebregt, S., Ishihara, R., Tichelaar, F. D., Hou, Y., Beenakker, C. I. M. Influence of the growth temperature on the first and second-order Raman band ratios and widths of carbon nanotubes and fibers. Carbon. 50 (10), 3542-3554 (2012).
  19. Lim, S. C., et al. Contact resistance between metal and carbon nanotube interconnects: Effect of work function and wettability. Appl. Phys. Lett. 95 (26), 264103 (2009).
  20. Ferrari, A. C., Robertson, J. Interpretation of Raman spectra of disordered and amorphous carbon. Phys. Rev. B. 61 (20), 14095-14107 (2000).
  21. Awano, Y., et al. Carbon nanotube via interconnect technologies: size-classified catalyst nanoparticles and low-resistance ohmic contact formation. Phys. Status Solidi (a). 203 (14), 3611-3616 (2006).
  22. Van der Veen, M. H., et al. Electrical characterization of CNT contacts with Cu Damascene top contact. Microelectron. Eng. 106, 106-111 (2012).
  23. Horibe, M., Nihei, M., Kondo, D., Kawabata, A., Awano, Y. Mechanical Polishing Technique for Carbon Nanotube Interconnects in ULSIs. Jpn J. App. Phys. 43 (9A), 6499-6502 (2004).
  24. Vollebregt, S., Chiaramonti, A. N., Ishihara, R., Schellevis, H., Beenakker, C. I. M. Contact resistance of low-temperature carbon nanotube vertical interconnects. Proceedings of the 12th IEEE Conference on Nanotechnology. , 424-428 (2012).
  25. Fiorentino, G., Vollebregt, S., Tichelaar, F. D., Ishihara, R., Sarro, P. M. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances. Nanotechnology. 26 (6), 064002 (2015).

Tags

Engenharia Edição 106 nanotubos de carbono deposição de vapor químico interconexões fabricação de semicondutores catalisador circuitos integrados microscopia eletrônica de varredura espectroscopia Raman caracterização elétrica
Fabricação de Baixa Temperatura de Nanotubos de Carbono Vertical Interconexões Compatível com Semiconductor Tecnologia
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Vollebregt, S., Ishihara, R.More

Vollebregt, S., Ishihara, R. Fabrication of Low Temperature Carbon Nanotube Vertical Interconnects Compatible with Semiconductor Technology. J. Vis. Exp. (106), e53260, doi:10.3791/53260 (2015).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter