Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove
Click here for the English version

Engineering

Fabbricazione di bassa temperatura Nanotubi di carbonio interconnessioni verticali Compatibile con la tecnologia Semiconductor

Published: December 7, 2015 doi: 10.3791/53260

Introduction

Il rame e tungsteno, i metalli che vengono attualmente utilizzati per le interconnessioni nella tecnologia state-of-the-art molto su larga scala di integrazione (VLSI), si avvicinano i loro limiti fisici in termini di affidabilità e di conducibilità elettrica 1. Mentre transistori demoltiplicazione generalmente migliora le loro prestazioni, in realtà aumenta la resistenza e la densità di corrente delle interconnessioni. Ciò ha provocato interconnessioni che dominano il circuito integrato (IC) prestazioni in termini di ritardo e consumo energetico 2.

I nanotubi di carbonio (CNT) sono state proposte come alternativa per Cu e W metallizzazione, soprattutto per le interconnessioni verticali (vias) come CNT possono state facilmente coltivate verticale 3. CNT hanno dimostrato di avere un'ottima affidabilità elettrica, permettendo una fino a 1.000 volte superiore densità di corrente di Cu 4. Inoltre, CNT non soffrono di superficie e grano dispersione di confine, che è in aumento il resistivity di Cu su scala nanometrica 5. Infine, CNT hanno dimostrato di essere ottimi conduttori termici 6, che possono aiutare nella gestione termica in chips VLSI.

Per successo dell'integrazione dei CNT nella tecnologia VLSI, è importante che i processi di crescita del CNT è reso compatibile con fabbricazione di semiconduttori. Ciò richiede la crescita bassa temperatura di CNT (<400 ° C) utilizzando materiali e attrezzature che sono considerati compatibili e scalabile per la produzione su larga scala. Mentre molti esempi di vias prova CNT sono state dimostrate in letteratura 7,8,9,10,11,12,13,14, la maggior parte di questi utilizzano Fe come catalizzatore che è considerato come contaminante in IC produzione di 15. Inoltre, la temperatura di crescita utilizzato in molte di queste opere è molto superiore al limite superiore di 400 ° C. Preferibilmente CNT dovrebbe anche essere coltivata inferiore a 350 ° C, al fine di consentire l'integrazione con i moderni dielettrici low-kappa o flessibilesubstrati.

Qui vi presentiamo un metodo scalabile per la crescita di CNT a temperature fino a 350 ° C utilizzando come catalizzatore Co 16. Questo metodo è di interesse per fabbricare differenti strutture elettriche, rappresentati allineati verticalmente CNT in circuiti integrati, che vanno da interconnessione e elettrodi di super-condensatori e dispositivi di emissione di campo. Il catalizzatore di metallo Co è spesso usato in IC di produzione per la fabbricazione di siliciuro di 17, mentre TiN è un materiale barriera spesso usato 7. Inoltre, dimostriamo un processo per la fabbricazione di vias prova CNT mentre solo utilizzando tecniche di produzione di semiconduttori standard. Con questo, vias prova CNT sono fabbricati, ispezionato da microscopia elettronica a scansione (SEM) e spettroscopia Raman, ed elettricamente caratterizzata.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

Attenzione: Si prega di consultare tutte le schede di sicurezza pertinenti (MSDS) prima dell'uso. Molti dei prodotti chimici utilizzati in questo processo di fabbricazione sono altamente tossici e cancerogeni. I nanomateriali possono avere rischi aggiuntivi rispetto alla loro controparte massa. Si prega di utilizzare tutte le pratiche di sicurezza appropriate quando si lavora con attrezzature, prodotti chimici o nanomateriali, compreso l'uso di controlli tecnici (cappa) e dispositivi di protezione individuale (occhiali, guanti, abiti camere bianche).

1. Allineamento Marker Definizione per litografia

  1. Inizia con grado industriale lucido single-sided Si (100) wafer con o n o drogaggio di tipo p.
  2. Rivestire la cialda con 1,4 micron di photoresist positivo. Eseguire 90 sec esametildisilazano (HMDS) trattamento a 130 ° C per favorire l'adesione del fotoresist, seguita da raffreddamento della fetta su un piatto freddo, spin-coating ad una velocità appropriata (3500 rpm), e 90 sec bake morbido unt 95 ° C.
  3. Utilizzando una maschera di foto-litografia e strumento di esposizione esporre i segni di allineamento, dose di esposizione di 120 mJ / cm 2.
  4. Eseguire un unico processo di sviluppo pozzanghera. Eseguire un 90 sec 115 ° C post-esposizione cuocere, seguito da 60 secondi di sviluppo utilizzando sviluppatore e 90 sec duro cuocere in forno a 100 ° C per curare il resistere.
  5. Utilizzare un microscopio per controllare se le aperture di resist sono delle dimensioni corrette.
  6. Etch 120 nm di Si utilizza plasma etching cloro. Questo spessore dà un buon contrasto per i sistemi di allineamento automatico dell'utensile esposizione utilizzato in questo lavoro. Ad esempio, utilizzando un plasma ad accoppiamento induttivo (ICP): 20/40 sccm O 2 / CF 4, 5 mTorr, 60/500 W piastra / potenza RF ICP, 10 sec ossido svolta incisione, seguita da 80/40 SCCM Cl 2 / HBr , 60 mTorr, 20/500 W piastra / potenza ICP RF, 35 sec Si etch.
  7. Utilizzare una spogliarellista plasma di ossigeno per rimuovere il photoresist (1 kW, 400 SCCM O 2 con endpoint rilevazione e 2 min overetch). Poiché il photoresist è curata da solvente come acetone plasma normale non può essere utilizzato.
  8. Pulire i wafer. Prima metterli per 10 min a 99% HNO 3, seguita da risciacquo in acqua deionizzata fino alla resistività dell'acqua è 5 MW (pulito organica). Dopo questo pulito i wafer per 10 min a 65% HNO 3 a 110 ° C, seguita da risciacquo con acqua deionizzata fino alla resistività dell'acqua è 5 MW (metallo pulito). Utilizzare un essiccatore sciacquatrice per asciugare i wafer.

2. inferiore in metallo e strato intermedio dielettrico Deposizione

  1. Utilizzare magnetron sputtering a depositare lo strato metallico fondo del test via. Una pila di tre strati metallici deve essere depositato: 500 nm di Ti, 50 nm di TiN e 100 nm Ti. Il primo strato di Ti è quello di ridurre la resistenza della pila, TiN è lo strato di supporto effettivo per la crescita CNT, e la parte superiore Ti è proteggere il TiN contro danni plasma quando attacco dello strato 12 SiO 2 2, di nuovo a 350 ° C di temperatura del substrato.
  2. Utilizzando deposizione di vapore chimico plasma (PECVD), depositare uno spesso strato di SiO 2 1 micron. Qui tetraetilortosilicato (TEOS) viene utilizzato come precursore ad una temperatura della piastra di 350 ° C.
    1. Controllare lo spessore dello strato di SiO 2 utilizzando uno strumento appropriato, per esempio un riflettometro o ellissometro.
  3. Coat il wafer con 1,4 micron di photoresist positivo, iniziando con un trattamento 90 sec HMDS a 130 ° C, seguita da raffreddamento della fetta su un piatto freddo, spin-coating ad una velocità appropriata (3500 rpm), e 90 sec morbido cuocere in forno a 95 ° C.
  4. Utilizzando una maschera di foto-litografia e strumento di esposizione, esporre il modello desiderato di aperture, che verrà successivamente inciso nella SiO 2 to formare le vias, allineati per i segni di allineamento, dose di esposizione di 140 mJ / cm 2.
  5. Eseguire un unico processo di sviluppo pozza a partire da un 90 sec 115 ° C post-esposizione bake, seguito da 60 sec sviluppo utilizzando sviluppatore e 90 sec duro cuocere a 100 ° C.
  6. Utilizzare un microscopio per controllare se le aperture di resist sono delle dimensioni corrette e se la sovrapposizione per i segni di allineamento sia corretto.
  7. Plasma etch le aperture di contatto negli SiO 2. Ad esempio, utilizzare un incisore triodo plasma con C 2 F 6 / CHF 3 36/144 sccm a 180 mTorr e 300 W di potenza RF. Se necessario, eseguire test velocità di attacco su un wafer di prova per minimizzare sopra incisione al 5% -10% nel tempo.
    Nota: Sebbene il Ti è resistente all'attacco reattivo in questa chimica fluoro, prolungata esposizione al plasma si tradurrà in incisione fisica dello strato Ti. Se lo strato di TiN è esposto al plasma questo avrà un influen negativoCE sulla crescita del CNT 12. Non utilizzare attacco umido come questo si tradurrà in troppo allargamento delle aperture, rendendo la metallizzazione in alto nella parte 4 problematico.
  8. Rimuovere il sacrificale Ti strato dopo attacco umido in 0,55% HF per 60 sec. Dopo l'incisione risciacquare i wafer con acqua deionizzata fino alla resistività dell'acqua è di 5 MW e utilizzare un essiccatore sciacquatrice per asciugare i wafer.
    Nota: Utilizzando un microscopio può essere controllato se lo strato di Ti viene attaccato lo strato di TiN avrà un colore marrone-oro, mentre il Ti è grigio metallizzato.

3. Catalyst Deposizione e CNT crescita

  1. Evaporare 5 nm di Co usando un evaporatore e-beam. Pump down fino almeno 2x10 -6 Torr, e riscaldare i wafer a 60 ° C con lampade sotto vuoto prima di depositare per rimuovere qualsiasi pellicola d'acqua. La foto-resist utilizzato per definire le aperture di contatto viene mantenuto sul wafer di fornire auto-allineamento del catalizzatore alle aperture di contatto negli SiO 2.
  2. Rimuovereil Co di fuori delle aperture di contatto con l'ascensore-off. Per Co si è constatato che tetraidrofurano (THF) dà i risultati migliori lift-off e la crescita a basse temperature. N-metil-2-pirrolidone (NMP), che è stato utilizzato in precedenza per il decollo dopo Fe evaporazione, è stato trovato per danni un Co troppo misura tale da impedire qualsiasi crescita CNT allineati. Mettere il wafer per 15 min in un bagno ad ultrasuoni con THF a 35 ° C. Risciacquare con acqua deionizzata per 5 minuti e asciugare con un filatore o azoto pistola.
  3. Controllare il wafer sotto un microscopio e verificare la presenza di residui di resistere. Qualora i residui rimangono eseguire un trattamento più lungo ultrasuoni in THF, e facoltativamente utilizzare uno speciale tampone di cotone morbido per scopi lift-off per pulire manualmente via residui.
  4. Eseguire la crescita CNT con bassa pressione deposizione di vapore chimico (LPCVD). Utilizzare la seguente ricetta: 8 min pre-ricottura a 350 ° C con 700 SCCM H 2 a 80 mbar, seguita da una crescita CNT aggiungendo 50 sccm C 2 H 2. A 350 ° C, 60min di crescita dà circa 1 micron di CNT. Se necessario eseguire un test di crescita per sintonizzare l'altezza, che dovrebbe essere lo stesso spessore dello strato di SiO 2. Far raffreddare il reattore e spurgare con N 2.
  5. Utilizzare un microscopio elettronico a scansione per controllare l'altezza del CNT all'interno delle aperture sotto i 45 ° di inclinazione, oppure preparando una sezione trasversale.
  6. Controllare i campioni utilizzando la spettroscopia Raman per determinare la cristallinità della CNT 18.

4. Fesa Metallizzazione

  1. Utilizzare magnetron sputtering per depositare il metallo in alto. Come Ti è un buon contatto metallo CNT 19, primo sputter 100 nm di Ti, seguito da 2 micron di Al (1% Si) senza rompere il vuoto.
  2. Coat il wafer con 3,1 micron di photoresist positivo con una maggiore viscosità, iniziando con un trattamento 90 sec HMDS a 130 ° C, seguita da raffreddamento della fetta su un piatto freddo, spin-coating a 3.000 rpm, e una cottura morbida 90 sec a 95° C.
  3. Utilizzando una maschera di foto-litografia e strumento di esposizione esporre il metallo modello top allineati ai segni di allineamento, dose di esposizione 420 mJ / cm 2, messa a fuoco di -1.
  4. Eseguire un unico processo di sviluppo pozzanghera. Questo inizia con un 90 sec 115 ° C post-esposizione bake, seguito da 60 sec sviluppo utilizzando sviluppatore e 90 sec duro cuocere a 100 ° C.
  5. Utilizzare un microscopio per controllare se le linee di resist sono delle dimensioni corrette e se la sovrapposizione dei marcatori è corretta.
  6. Etch lo stack Ti / Al mediante plasma etching cloro. Ad esempio, utilizzando un plasma ad accoppiamento induttivo: 30/40 sccm Cl 2 / HBr, 5 mTorr, 40/500 W di potenza Platen / ICP RF con rilevamento degli endpoint e l'80% con 15/30 sccm overetch Cl 2 / HBr.
  7. Utilizzare una spogliarellista plasma di ossigeno per rimuovere il photoresist (1 kW, 400 SCCM O 2 con rilevamento degli endpoint e 2 min overetch). Se la copertura metallica non è completo (cioè ci sono fori intornoCNT) utilizzare un solvente organico (ad esempio, NMP) per rimuovere il fotoresist per evitare danni plasma al CNT.
  8. Pulire i wafer. Messo loro per 10 min a 99% HNO 3, seguito da risciacquo con acqua deionizzata fino alla resistività dell'acqua è 5 MW (pulito organica). Utilizzare un essiccatore sciacquatrice per asciugare i wafer.

5. Misurazioni

  1. Utilizzare un microscopio elettronico a scansione in base alle istruzioni del produttore per verificare la metallizzazione superiore del wafer.
    Nota:. Se necessario, il wafer può essere scisso meccanicamente al fine di verificare la completa CNT tramite utilizzando una inclinazione campione di 90 °, per immagini, come mostrato in Figura 3 Come campioni sono elettricamente conduttivi devono essere utilizzati senza trattamenti addizionali e i campioni possono essere montati nel SEM. Generalmente, elevate tensioni di accelerazione di 15 kV o 20 possono essere utilizzate, ma se lo strato SiO 2 è in carica troppo questo può essere ridotto to 5 kV.
  2. Eseguire 4 punti misurazioni sonda IV, per una stazione di prova in combinazione con un analizzatore di parametri a semiconduttore come descritto nella Figura 1 e in Vollebregt et al. 16.
    Nota: Normalmente una spazzata tensione da -0.5 a 0.5 V è sufficiente, come la caduta di potenziale tramite una interconnessione ideale è piccola. Utilizzando una configurazione sonda 4-punto la resistenza di contatto degli aghi della sonda e le resistenze a filo del setup vengono omessi.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

Il disegno della struttura misura utilizzata in questo lavoro può essere trovato in Figura 1. Utilizzando una tale struttura la misurazione della resistenza fascio CNT e le resistenze di contatto metallo-CNT può essere determinato con precisione, come sonde e fili resistenze sono aggirate. La resistenza del fascio è una misura per la qualità e la densità del fascio CNT. Per determinare i fasci resistenza di contatto di lunghezza diversa devono essere misurati.

Una tipica immagine SEM di CNT cresciuto a 350 ° C per 60 min presa dalla cima prima metallizzazione a 45 ° di inclinazione è mostrato in Figura 2. Una tale immagine è utile per verificare se il tempo di crescita del CNT è impostato correttamente per avere la stessa lunghezza del spessore dello strato SiO 2. Una sezione trasversale preparata da scissione meccanica ispezionato SEM della stessa fetta dopo la metallizzazione è mostrato in Figura 3. Questo può be utilizzato per determinare l'allineamento del CNT, la loro densità (per esempio essere contando il numero di CNT per unità di lunghezza), e se un alta risoluzione è utilizzato SEM per determinare il loro diametro. Anche l'area di contatto tra CNT e gli strati metallici può essere indagata.

Spettri Raman di Co-grown CNT a 350 ° C viene visualizzato nella Figura 4. Spettroscopia Raman è una tecnica potente per studiare la cristallinità del CNT 18, e può per esempio essere utilizzata per ottimizzare i parametri di crescita CNT per ottenere la massima qualità CNT. IV misurazioni sono state eseguite utilizzando quattro strutture sonde puntiformi e sono illustrati in Figura 5. Quando il comportamento IV è lineare indica contatto ohmico tra la CNT e contatti metallici. Dalla pista la resistenza elettrica può essere determinato. Dalla resistenza e la dimensione dei fasci resistività può essere calcolato, per cui questi bundle CNT viene confrontatoletteratura in Figura 6.

Figura 1
Figura 1. Progettazione di struttura misurazione sonda 4 punti usata in questo lavoro. Nella figura strato giallo scuro indica il TiN, i tubi neri fasci CNT, e lo strato metallico pila Ti e Al. Lo strato sacrificale Ti è omesso per chiarezza e l'ossido è semitrasparente. I collegamenti della sonda per 4 punti misurazioni della sonda elettrica sono indicate. Cliccate qui per vedere una versione più grande di questa figura.

Figura 2
Figura 2. Top-view Immagine SEM di un fascio di CNT. Questo dimostra una 2 micron di larghezza CNT fascio cresciuto in una apertura di contatto che è stato inciso dentroSiO 2. Questa cifra è stata modificata da 16, con il permesso di Elsevier. Clicca qui per vedere una versione più grande di questa figura.

Figura 3
Figura 3. SEM sezione di CNT via. Sezione trasversale di un test di 2 micron di larghezza e 1 micron di lunghezza CNT tramite preparata utilizzando fenditrici meccanica dopo metallizzazione. Questa cifra è stata modificata da 16, con il permesso di Elsevier. Clicca qui per vedere una versione più grande di questa figura.

Figura 4
Figura 4. spettro Raman di un fascio CNT coltivato con un Co t 350 ° C. I nomi delle bande Raman sono indicati. La curva nera visualizza i dati di misura grezzi. Per tutte le bande viene eseguito un raccordo Lorentziana (verde tratteggiata curve), fatta eccezione per la fascia D ', che è montato da una gaussiana 18. Clicca qui per vedere una versione più grande di questa figura.

Figura 5
Figura 5. IV misure di vias prova CNT con diversi diametri. I simboli rappresentano i dati di misura, mentre la linea continua indica un lineare dei minimi quadrati di montaggio per i dati di misurazione. Sono indicate le resistenze elettriche dei diversi vias come determinato dalla pendenza del raccordo lineare. Questa cifra è stata modificata da 16, con il permesso di Elsevier.tp_upload / 53260 / 53260fig5large.jpg "target =" _ blank "> Clicca qui per vedere una versione più grande di questa figura.

Figura 6
Figura 6. Confronto tra CNT fascio resistività con i valori teorici. La resistività è calcolata dalla resistenza e la via dimensioni. Esso viene confrontato con i valori teorici e vias CNT fabbricato a temperature diverse usando il metodo descritto in questo lavoro. Questa cifra è stata modificata da 16, con il permesso di Elsevier. Clicca qui per vedere una versione più grande di questa figura.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

Figura 1 mostra una visione schematica della struttura fabbricata in questo lavoro, e che è stato utilizzato per le misurazioni della sonda 4 punti. Poiché il potenziale è misurata mediante sonde trasportano nessuna corrente, l'esatta caduta di potenziale (V -V H L) sul fascio CNT centrale e suoi contatti al metallo può essere misurata. Diametro maggiore CNT fasci vengono utilizzati per contattare lo strato TiN fondo dalle piazzole di contatto, al fine di ridurre la resistenza totale per le sonde costringendo attuali e massimizzare la caduta di potenziale sul fascio CNT centrale.

Come si può vedere dalla figura 2, il CNT sono state coltivate con successo all'interno delle aperture incise in SiO 2 con una lunghezza circa uguale alla profondità del foro (1 micron). È fondamentale che la lunghezza del CNT è approssimativamente uguale alla profondità del foro, al fine di conseguire rivestimento conforme del contatto metallico superiore. I fasci appaiono uniform, che aiuta anche nel rivestimento conforme del metallo. La rettilineità e allineamento verticale dei tubi si può chiaramente vedere nella sezione mostrata in figura 3. Contando, la densità del fascio CNT è stato stimato in circa 5x10 10 provette / cm 2. Utilizzando la microscopia elettronica a trasmissione diametro medio dei tubi è risultato 8 nm, come è stato mostrato altrove 16. A causa delle basse temperature di crescita pareti CNT contengono molti difetti rendendo determinare il numero di pareti difficili. I tubi sembrano avere un nucleo vuoto, anche se sono stati osservati attraversamenti di bambù. La sezione mostra anche lo strato TiN fondo, e lo strato sacrificale Ti che viene parzialmente rimosso sotto il SiO 2 durante l'attacco umido. Se le aperture sono posizionate chiusi insieme il tempo di attacco dello strato sacrificale Ti può essere ottimizzato per ridurre al minimo underetch evitare ossido delaminazione. A causa della attacco a secco del foro, ladistanza tra il fascio SiO 2 e CNT è minima, che è essenziale per prevenire il Ti atomizzate e Al formazione di cortocircuiti attorno al fascio CNT.

Utilizzando i dati Raman la cristallinità (o qualità) della CNT può essere indagata. Poiché le diverse bande Raman sono vicine fra di loro deconvoluzione delle bande è necessario, come descritto altrove 18. Dai dati Raman in figura 4, è evidente che una forte banda D e D 'può essere osservato, che sono causati da scattering Raman con difetti, mentre la banda G è legato al legame CC. Gli altri due gruppi sono caratteristiche Raman deboli che sono inclusi per il montaggio più accurato.

È noto che una temperatura bassa crescita nei risultati generali in una qualità inferiore 18 CNT. Di solito il D su rapporto di intensità G (I D / G) è utilizzato per valutare la qualità dei materiali grafitici, che è 1,1 in Figura 4. Come ha essereen indicano ad esempio Ferrari e Robertson 20, la cura deve essere presa quando si utilizza solo questo rapporto di banda. Con l'aumento della qualità del CNT, prima della I D / G rapporto aumenta, fino al raggiungimento di una certa quantità di cristallizzazione dopo che il rapporto diminuisce monotonicamente. A causa della temperatura di crescita molto bassa, la CNT in questo lavoro sembrano avere una cristallinità inferiore a questa soglia 16. In questi casi l'intera larghezza a metà altezza di banda D può essere usato per confrontare campioni CNT fabbricate in diverse condizioni di processo 18. Si può prevedere che la bassa qualità del CNT influenzerà significativamente le prestazioni elettriche.

A giudicare dal comportamento lineare quasi completa delle caratteristiche IV di figura 5, i contatti tra CNT e gli strati metallici superiore e inferiore sono ohmico. La resistenza del fascio diminuisce di diametro, che deve essere previsto come più CNT può condurre in parallel per i più grandi pacchetti. Il buon contatto tra CNT e metalli viene attribuita all'uso di Ti 19, e TiN che è più resistente contro l'ossidazione 21. Inoltre, abbiamo trovato che a causa della mancanza di punti di copertura dielettriche del CNT dopo la crescita (usando per esempio vetro spin-on), cosa che viene spesso utilizzato in letteratura in combinazione con lucidatura meccanica chimica (CMP) 22,23, la resistenza di contatto al CNT è bassa a causa incorporamento delle punte CNT nel metallo superiore 24.

Quando si confrontano i resistività del CNT fasci con la letteratura, come avviene in figura 6, i risultati sono tra i valori medi in letteratura. Tuttavia, la temperatura di crescita utilizzato in questo lavoro è record basso. I risultati di Yokoyama et al. 13 sono la resistività bassa riportati in letteratura, utilizzando solo una temperatura di 40 ° maggiore crescita. Tuttavia, il materiale utilizzato per il par Coticolo deposizione nel loro lavoro è probabile che non scalabile per la produzione di grandi volumi. Chiaramente la resistività diminuisce all'aumentare della temperatura di crescita, che può essere vantaggiosa per applicazioni consentire temperature di crescita. Confrontando la resistività del CNT fasci metalli interconnessione tradizionali come Cu (1,7 μΩ-cm), è evidente che è necessaria una drastica riduzione della resistività. Il miglioramento della qualità del CNT e la densità fascio, ottimizzando le condizioni di crescita, sarà richiesto. Questo deve essere fatto senza aumentare la temperatura di crescita, al fine di consentire l'integrazione con i moderni materiali a basso kappa e substrati flessibili.

Abbiamo così dimostrato una tecnica per integrare a bassa temperatura di crescita CNT e l'integrazione nella fabbricazione di semiconduttori standard. Questa tecnica è stata utilizzata per fabbricare CNT tramite strutture di test ed è stato recentemente applicato per la fabbricazione di condensatori super-CNT 25 </ sup>.

Subscription Required. Please recommend JoVE to your librarian.

Materials

Name Company Catalog Number Comments
Si (100) wafer 4" International Wafer Service Resisitivity: 2-5 mΩ-cm, thickness: 525 µm 
Ti-sputter target (99.995% purity) Praxair
Al (1% Si)-sputter target (99.999% purity) Praxair
Co (99.95% purity) Kurt J. Lesker
SPR3012 positive photoresist Dow Electronic Materials
MF-322 developer Dow Electronic Materials
HNO3 (99.9%) KMG Ultra Pure Chemicals
HNO3 (69.5%) KMG Ultra Pure Chemicals
HF 0.55% Honeywell
Tetrahydrofuran JT Baker
Acetone Sigma-Aldrich
ECI3027 positive photoresist AZ
Tetraethyl orthosilicate (TEOS) Praxair
N2 (99.9990%) Praxair
O2 (99.9999%) Praxair
CF4 (99.9970%) Praxair
Cl2 (99.9900%) Praxair
HBr (99.9950%) Praxair
Ar (99.9990%) Praxair
C2F6 (99.9990%) Praxair
CHF3 (99.9950%) Praxair
H2 (99.9950%) Praxair
C2H2 (99.6000%) Praxair
EVG 120 coater/developer EVG
ASML PAS5500/80 waferstepper ASML
SPTS Ωmega 201 plasma etcher SPTS Used for Si and metal etching
SPTS Σigma sputter coater SPTS
Novellus Concept One PECVD LAM
Drytek 384T plasma etcher LAM Used for oxide etching
CHA Solution e-beam evaporator CHA
AIXTRON BlackMagic Pro CVD tool AIXTRON Carbon nanotube growth
Philips XL50 scanning electron microscope FEI
Tepla 300 PVA TePla Resist plasma stripper
Avenger rinser dryer Microporcess Technologies
Leitz MPV-SP reflecometer Leitz
Renishaw inVia Raman spectroscope Renishaw
Agilent 4156C parameter spectrum analyzer Agilent
Cascade Microtech probe station Cascade Microtech

DOWNLOAD MATERIALS LIST

References

  1. International Technology Roadmap for Semiconductors. , Available from: http://public.itrs.net (2013).
  2. Sun, S. C. Process technologies for advanced metallization and interconnect systems. Technical digest of the IEEE International Electron Devices Meeting. , 765-768 (1997).
  3. Robertson, J. Growth of nanotubes for electronics. Mater. Today. 10 (1-2), 36-43 (2007).
  4. Wei, B. Q., Vajtai, R., Ajayan, P. M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79 (8), 1172-1174 (2001).
  5. Rossnagel, S. M., Wisnieff, R., Edelstein, D., Kuan, T. S. Interconnect issues post 45nm. Technical digest of the IEEE International Electron Devices Meeting. , 89-91 (2005).
  6. Pop, E., Mann, D., Wang, Q., Goodson, K., Dai, H. Thermal Conductance of an Individual Single-Wall Carbon Nanotube above Room Temperature. Nano Lett. 6 (1), 96-100 (2006).
  7. Chiodarelli, N., et al. Measuring the electrical resistivity and contact resistance of vertical carbon nanotube bundles for application as interconnects. Nanotechnology. 22 (8), 085302 (2011).
  8. Choi, Y. -M., et al. Integration and Electrical Properties of Carbon Nanotube Array for Interconnect Applications. Proceedings of the Sixth IEEE Conference on Nanotechnology. , 262-265 (2006).
  9. Dijon, J., et al. Ultra-high density Carbon Nanotubes on Al-Cu for advanced Vias. Technical digest of the IEEE International Electron Devices Meeting. , 33-34 (2010).
  10. Kreupl, F., et al. Carbon nanotubes in interconnect applications. Microelectron. Eng. 64 (1-4), 399-408 (2002).
  11. Vereecke, B., et al. Characterization of carbon nanotube based vertical interconnects. Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials. , 648-649 (2012).
  12. Vollebregt, S., Ishihara, R., Derakhshandeh, J., vander Cingel, J., Schellevis, H., Beenakker, C. I. M. Integrating low temperature aligned carbon nanotubes as vertical interconnects in Si technology. Proceedings of the 11th IEEE Conference on Nanotechnology. , 985-990 (2011).
  13. Yokoyama, D., et al. Electrical Properties of Carbon Nanotubes Grown at a Low Temperature for Use as Interconnects. Jpn J. App. Phys. 47 (4), 1985-1990 (2008).
  14. Van der Veen, M. H., et al. Electrical Improvement of CNT Contacts with Cu Damascene Top Metallization. Proceedings of the IEEE International Interconnect Technology Conference. , 193-195 (2013).
  15. Istratov, A. A., Hieslmair, H., Weber, E. R. Iron contamination in silicon technology. Appl. Phys. A. 70, 489-534 (2000).
  16. Vollebregt, S., Tichelaar, F. D., Schellevis, H., Beenakker, C. I. M., Ishihara, R. Carbon nanotube vertical interconnects fabricated at temperatures as low as 350 °C. 71, 249-256 (2014).
  17. Kikkawa, T., Inoue, K., Imai, K. Cobalt silicide technology. Silicide Technology for Integrated Circuits. , The Institution of Engineering and Technology. 77-94 (2004).
  18. Vollebregt, S., Ishihara, R., Tichelaar, F. D., Hou, Y., Beenakker, C. I. M. Influence of the growth temperature on the first and second-order Raman band ratios and widths of carbon nanotubes and fibers. Carbon. 50 (10), 3542-3554 (2012).
  19. Lim, S. C., et al. Contact resistance between metal and carbon nanotube interconnects: Effect of work function and wettability. Appl. Phys. Lett. 95 (26), 264103 (2009).
  20. Ferrari, A. C., Robertson, J. Interpretation of Raman spectra of disordered and amorphous carbon. Phys. Rev. B. 61 (20), 14095-14107 (2000).
  21. Awano, Y., et al. Carbon nanotube via interconnect technologies: size-classified catalyst nanoparticles and low-resistance ohmic contact formation. Phys. Status Solidi (a). 203 (14), 3611-3616 (2006).
  22. Van der Veen, M. H., et al. Electrical characterization of CNT contacts with Cu Damascene top contact. Microelectron. Eng. 106, 106-111 (2012).
  23. Horibe, M., Nihei, M., Kondo, D., Kawabata, A., Awano, Y. Mechanical Polishing Technique for Carbon Nanotube Interconnects in ULSIs. Jpn J. App. Phys. 43 (9A), 6499-6502 (2004).
  24. Vollebregt, S., Chiaramonti, A. N., Ishihara, R., Schellevis, H., Beenakker, C. I. M. Contact resistance of low-temperature carbon nanotube vertical interconnects. Proceedings of the 12th IEEE Conference on Nanotechnology. , 424-428 (2012).
  25. Fiorentino, G., Vollebregt, S., Tichelaar, F. D., Ishihara, R., Sarro, P. M. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances. Nanotechnology. 26 (6), 064002 (2015).

Tags

Ingegneria Numero 106 nanotubi di carbonio Chemical Vapor Deposition interconnessioni fabbricazione di semiconduttori catalizzatore circuiti integrati microscopia elettronica a scansione spettroscopia Raman caratterizzazione elettrica
Fabbricazione di bassa temperatura Nanotubi di carbonio interconnessioni verticali Compatibile con la tecnologia Semiconductor
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Vollebregt, S., Ishihara, R.More

Vollebregt, S., Ishihara, R. Fabrication of Low Temperature Carbon Nanotube Vertical Interconnects Compatible with Semiconductor Technology. J. Vis. Exp. (106), e53260, doi:10.3791/53260 (2015).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter