Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove
Click here for the English version

Engineering

Fabrikation af lav temperatur kulstof nanorør Lodrette Interconnect Kompatibel med Semiconductor Technology

Published: December 7, 2015 doi: 10.3791/53260

Introduction

Kobber og wolfram, metaller, som i øjeblikket anvendes til interconnects i state-of-the-art meget-stor skala integration (VLSI) teknologi, nærmer deres fysiske grænser med hensyn til pålidelighed og elektrisk ledningsevne 1. Mens ned-skalering transistorer generelt forbedrer deres præstationer, er det faktisk øger modstand og strømtæthed af forbindelsesledninger. Dette resulterede i interconnects dominerer det integrerede kredsløb (IC) resultater med hensyn til forsinkelser og strømforbrug 2.

Kulstof-nanorør (CNT) er blevet foreslået som alternativ til Cu og W metallisering, især for lodrette interconnects (vias) som CNT let kan været dyrket lodret 3. CNT har vist sig at have fremragende elektrisk pålidelighed, tillader en op til 1.000 gange højere strømtæthed end Cu 4. Hertil kommer, at CNT ikke lider overflade og korngrænseferritfilm spredning, hvilket øger resistivity af Cu på nanometer skala 5. Endelig har CNT vist sig at være fremragende termiske ledere 6, der kan hjælpe til termisk styring i VLSI chips.

For en vellykket integration af CNT i VLSI teknologi er det vigtigt, at processerne for CNT vækst er forenelig med halvleder opspind. Det kræver den lave vækst i CNT (<400 ° C) ved hjælp af materialer og udstyr, der betragtes som forenelige og skalerbar til stor skala produktion temperatur. Selv om der er påvist mange eksempler på CNT test vias i litteraturen 7,8,9,10,11,12,13,14, de fleste af disse bruger Fe som katalysator, der betragtes som en kontaminant i IC fremstilling 15. Desuden, væksttemperaturen bruges i mange af disse værker er meget højere end den øvre grænse på 400 ° C. Fortrinsvis CNT bør også dyrkes under 350 ° C, for at tillade integration med moderne lav K dielektrika eller fleksiblesubstrater.

Her præsenterer vi en skalerbar fremgangsmåde til dyrkning CNT ved temperaturer så lave som 350 ° C under anvendelse af Co som katalysator 16. Denne metode er af interesse for opdigte forskellige elektriske strukturer bestående af lodret linie CNT i integrerede kredsløb, der spænder fra interconnect og elektroder til super kondensatorer og felt gasinstallationer. Co katalysatormetallet bruges ofte i IC fremstilling til fremstilling af silicidbelægning s 17, mens TiN er en ofte anvendt barrieremateriale 7. Desuden har vi demonstrere en proces til fremstilling af CNT test vias mens kun bruge teknikker fra standard halvleder fremstillingsindustrien. Med denne, er CNT test vias fremstilles, kontrolleres ved scanning elektronmikroskopi (SEM) og Raman spektroskopi, og elektrisk karakteriseret.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

Forsigtig: Se venligst alle relevante materiale sikkerhedsdatablade (MSDS) før brug. Flere af de kemikalier, der anvendes i denne produktionsprocessen er akut giftige og kræftfremkaldende. Nanomaterialer kan have yderligere risici i forhold til deres omfang modstykke. Brug venligst alle passende sikkerhedsforanstaltninger, når du arbejder med udstyr, kemikalier eller nanomaterialer, herunder anvendelse af tekniske kontroller (stinkskab) og personlige værnemidler (sikkerhedsbriller, handsker, renrum tøj).

1. Justering Marker Definition til litografisk

  1. Start med enkelt-sidet poleret industriel kvalitet Si (100) vafler med enten n eller p-type doping.
  2. Coat skiven med 1,4 um positiv fotoresist. Udføre en 90 sek hexamethyldisilazan (HMDS) behandling ved 130 ° C for at fremme adhæsion af fotoresisten, efterfulgt af afkøling af waferen på en kold plade, spin-coating på et passende hastighed (3.500 rpm), og en 90 sek blød bage ent 95 ° C.
  3. Ved hjælp af en foto-litografi maske og eksponering værktøj udsætte justeringsmærkerne, dosis eksponering 120 mJ / cm2.
  4. Udfør en enkelt pyt udviklingsproces. Udføre en 90 sek 115 ° C efter eksponering bage, efterfulgt af 60 sek udvikling ved hjælp udvikler og 90 sek hårdt bages ved 100 ° C for at hærde modstå.
  5. Brug et mikroskop for at inspicere, hvis åbningerne i modstå er af de korrekte dimensioner.
  6. Etch 120 nm af Si med klor plasmaætsning. Denne tykkelse giver god kontrast til de automatiske justering systemer eksponeringen værktøj, der anvendes i dette arbejde. For eksempel ved hjælp af en induktivt koblet plasma (ICP): 20/40 sccm O 2 / CF 4, 5 mTorr, 60/500 W glaspladen / ICP RF-effekt, 10 sek oxid gennembrud ætsning, efterfulgt af 80/40 sccm Cl2 / HBr , 60 mTorr, 20/500 W glaspladen / ICP RF-effekt, 35 sek Si etch.
  7. Brug en ilt plasma stripper til at fjerne fotoresist (1 kW, 400 SCCM O 2 med endpoint afsløring og 2 min overetch). Som fotoresisten hærdes ved plasma normale opløsningsmiddel såsom acetone kan ikke bruges.
  8. Rengør vafler. Først lægges i 10 minutter i 99% HNO 3, efterfulgt af skylning i demineraliseret vand indtil resistiviteten af vand er 5 MQ (organisk ren). Efter denne rene vaflerne i 10 minutter i 65% HNO3 ved 110 ° C, efterfulgt af skylning med deioniseret vand indtil resistiviteten af vand er 5 MQ (metal ren). Brug en rinser tørretumbler til at tørre vafler.

2. Bund metal og mellemlæg Dielektrisk Deposition

  1. Brug magnetronforstøvning at deponere det nederste metallag af testen via. En stak tre metallag skal deponeres: 500 nm af Ti, 50 nm tin, og 100 nm Ti. Det første lag er Ti at reducere modstanden af stakken, TiN er den egentlige bærelag for CNT vækst, og toppen Ti er at beskytte TiN mod skader, når plasma ætsning SiO 2 lag 12 og N2, igen ved 350 ° C substrat temperatur.
  2. Brug plasma-forstærket kemisk dampudfældning (PECVD), deponere en 1 um tykt lag af SiO2. Her tetraethylorthosilicat (TEOS) anvendes som precursor ved en trykplade temperatur på 350 ° C.
    1. Kontroller tykkelsen af SiO 2 lag ved hjælp en passende værktøj, for eksempel et reflektometer eller ellipsometer.
  3. Coat skiven med 1,4 um positiv fotoresist, begyndende med en 90 sek HMDS behandling ved 130 ° C efterfulgt af afkøling af waferen på en kold plade, spin-coating på et passende hastighed (3.500 rpm), og en 90 sek blød bages ved 95 ° C.
  4. Ved hjælp af en foto-litografisk maske og eksponering værktøj, udsætte det ønskede mønster af åbninger, som senere vil blive ætset ind i SiO2 to danner vias, tilpasset til justeringsmærkerne, dosis eksponering 140 mJ / cm2.
  5. Udfør en enkelt pyt udviklingsproces starter med en 90 sek 115 ° C efter eksponering bage, efterfulgt af 60 sek udvikling ved hjælp udvikler og 90 sek hårdt bages ved 100 ° C.
  6. Brug et mikroskop for at inspicere, hvis åbningerne i modstå er af de korrekte dimensioner og hvis overlay til justeringsmærkerne er korrekt.
  7. Plasma etch kontaktoplysningerne åbninger i SiO2. For eksempel bruger en triode plasma etcher med C 2 F 6 / CHF 3 36/144 SCCM ved 180 mTorr og 300 W RF-effekt. Hvis det er nødvendigt, udføre ætsehastighed test på en prøve wafer med henblik på at minimere løbet ætsning til 5% -10% i tide.
    Bemærk: Selvom Ti er resistent over for reaktiv ætsning i dette fluor kemi, vil langvarig udsættelse for plasmaet medføre fysiske ætsning af Ti lag. Hvis TiN lag udsættes for plasmaet dette vil have en negativ influenEF om væksten i CNT 12. Brug ikke vådætsning da dette vil resultere i for meget udvidelse af åbninger, hvilket gør den øverste metallisering delvist 4 problematisk.
  8. Fjern offersystemet Ti lag ved vådætsning i 0,55% HF i 60 sek. Efter ætsning skylles vafler med DI vand indtil vandet modstand er 5 MQ og bruge en rinser tørretumbler til at tørre vafler.
    Bemærk: Brug af et mikroskop det kan kontrolleres, hvis Ti lag er ætset, vil TiN lag har en guld-brun farve, mens Ti er metallisk grå.

3. Katalysator Deposition og CNT Vækst

  1. Inddampes 5 nm af Co hjælp af en e-beam fordamper. Pump down indtil mindst 2x10 -6 Torr og opvarme vafler til 60 ° C under anvendelse af lamper under vakuum før deponeringen for at fjerne enhver vandfilm. Foto-modstå bruges til at definere de kontaktoplysninger åbninger holdes på skiven til at give selv-opretning af katalysatoren til kontaktoplysninger åbninger i SiO2.
  2. FjerneCo uden de kontaktoplysninger åbninger ved lift-off. For Co konstateredes det, at tetrahydrofuran (THF) giver de bedste lift-off resultater og vækst ved lave temperaturer. N-methyl-2-pyrrolidon (NMP), der tidligere blev anvendt til lift-off efter Fe inddampning, fandtes at skade en Co for sådan grad at forhindre enhver linie CNT vækst. Sætte skiven i 15 minutter i et ultralydsbad med THF ved 35 ° C. Skyl med deioniseret vand i 5 minutter og tør under anvendelse af en spinner eller nitrogen gun.
  3. Undersøg wafer under et mikroskop, og kontrollere for modstå rester. Hvis rester forbliver udføre en længere ultralydsbehandling i THF, og eventuelt bruge en speciel blød vatpind til lift-off formål manuelt tørre rester.
  4. Udfør CNT vækst ved hjælp lavt tryk kemisk pådampning (LPCVD). Brug følgende opskrift: 8 min pre-annealer ved 350 ° C med 700 sccm H2 ved 80 mbar, efterfulgt af CNT vækst ved tilsætning af 50 SCCM C2 H2. Ved 350 ° C, 60min vækst giver omkring 1 um CNT. Hvis det er nødvendigt at udføre en test vækst at tune højde, som skal være den samme tykkelse som SiO2 lag. Afkøle reaktoren og rense under anvendelse af N2.
  5. Brug et scanningselektronmikroskop for at kontrollere højden af ​​CNT inde i åbningerne under 45 ° hældning, eller ved fremstilling af et tværsnit.
  6. Undersøg prøver under anvendelse Raman-spektroskopi til bestemmelse af krystalliniteten af CNT 18.

4. Topside Metallisering

  1. Brug magnetronforstøvning at deponere den øverste metal. Som Ti er en god metal til at kontakte CNT 19, første sputter 100 nm af Ti efterfulgt af 2 um af Al (1% Si) uden at bryde vakuumet.
  2. Coat skiven med 3,1 um positiv fotoresist med højere viskositet, begyndende med en 90 sek HMDS behandling ved 130 ° C efterfulgt af afkøling af waferen på en kold plade, spin-coating ved 3.000 omdrejninger i minuttet, og en 90 sek blødt bages ved 95° C.
  3. Ved hjælp af en foto-litografi maske og eksponering værktøj eksponere den øverste metal mønster på linie med de justeringsmærkerne, eksponering dosis 420 mJ / cm2, fokus på -1.
  4. Udfør en enkelt pyt udviklingsproces. Dette starter med en 90 sek 115 ° C efter eksponering bage, efterfulgt af 60 sek udvikling ved hjælp udvikler og 90 sek hårdt bages ved 100 ° C.
  5. Brug et mikroskop for at inspicere, om linjerne i modstå, er af de korrekte dimensioner og hvis overlay til markørerne er korrekt.
  6. Ætse Ti / Al stakken med klor plasmaætsning. For eksempel ved hjælp af en induktiv koblet plasma: 30/40 sccm Cl 2 / HBr, 5 mTorr, 40/500 W Platen / ICP RF-effekt med endpoint detektion og 80% overetch hjælp 15/30 sccm Cl 2 / HBr.
  7. Brug en ilt plasma stripper til at fjerne fotoresist (1 kW, 400 SCCM O 2 med endpoint detektion og 2 min overetch). Hvis metallet dækningen ikke fuldstændig (dvs. der er små huller omkringCNT) anvender et organisk opløsningsmiddel (f.eks NMP) for at fjerne fotoresist for at forhindre plasma beskadigelse af CNT.
  8. Rengør vafler. Sæt dem i 10 minutter i 99% HNO 3, efterfulgt af skylning med deioniseret vand indtil resistiviteten af vand er 5 MQ (organisk ren). Brug en rinser tørretumbler til at tørre vafler.

5. Målinger

  1. Brug et scanningselektronmikroskop ifølge producentens vejledninger for at kontrollere toppen metallisering af skiver.
    Bemærk:. Hvis det er nødvendigt vaflen kan mekanisk spaltes med henblik på at kontrollere den komplette CNT via anvendelse af en prøve hældning på 90 °, hvilket resulterer i billeder, som vist i figur 3 som prøverne er elektrisk ledende ingen yderligere behandlingstrin skal anvendes, og prøverne kan monteres direkte i SEM. Generelt kan anvendes høj acceleration spænding på 15 kV eller 20, men hvis SiO2 lag oplades for meget kan dette reduceres to 5 kV.
  2. Udfør 4-punkts probe IV målinger ved hjælp af en sonde station i kombination med en halvleder parameter analysator som beskrevet i figur 1 og i Vollebregt et al. 16.
    Bemærk: Normalt vil en spænding feje fra -0,5 til 0,5 V er tilstrækkeligt, hvilket spændingsfald over en interconnect ideelt er lille. Ved at anvende en 4-punkts probe setup kontaktmodstanden af ​​sonden nåle og wire modstande opsætningen er udeladt.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

Udformningen af målingen struktur, som anvendes i dette arbejde kan findes i figur 1. Ved at anvende en sådan struktur måling af CNT bundt modstand og metal-CNT kontakt modstande kan bestemmes nøjagtigt, som probe og wire modstande omgås. Modstanden af ​​bundtet er et mål for kvaliteten og densiteten af ​​CNT bundt. For at bestemme kontaktmodstanden bundter af forskellige længder skal måles.

En typisk SEM billede af CNT dyrket ved 350 ° C i 60 minutter tages fra toppen før metallisering ved 45 ° hældning er vist i figur 2. Et sådant billede er nyttig til at kontrollere, om væksten tidspunktet for CNT er indstillet korrekt med henblik på at opnå den samme længde som tykkelsen af SiO2 lag. Et tværsnit fremstillet ved mekanisk spaltning inspiceret af SEM af samme skive efter metallisering er vist i figur 3. Dette kan be anvendes til at bestemme tilpasningen af ​​CNT, deres densitet (for eksempel være at tælle antallet af CNT per længdeenhed), og hvis en høj opløsning SEM anvendes til at bestemme deres diameter. Også kontaktområdet mellem CNT og metallag kan undersøges.

Raman spektre af Co-dyrket CNT ved 350 ° C er vist i figur 4. Raman spektroskopi er en kraftfuld teknik til undersøgelse af krystalliniteten af CNT 18 og kan for eksempel anvendes til at optimere parametrene CNT vækst med henblik på at opnå den højeste kvalitet CNT. IV målinger blev udført ved hjælp af fire point probe strukturer og vises i figur 5. Når IV adfærd er lineær indikerer det ohmsk kontakt mellem CNT og metalkontakterne. Fra hældningen den elektriske modstand kan bestemmes. Fra modstand og dimensionen af ​​bundterne resistivitet kan beregnes, hvilket for disse CNT bundter sammenlignes medlitteraturen i figur 6.

Figur 1
Figur 1. Design af 4-punkts probe måling struktur, som anvendes i dette arbejde. På figuren er mørkegule lag angiver TiN, de sorte rør CNT bundter, og det metalliske lag Ti og Al stakken. Offersystemet Ti lag udeladt for klarhed og oxid er halvgennemsigtige. Probe tilslutninger til elektriske 4-punkts probe målinger er angivet. Klik her for at se en større version af dette tal.

Figur 2
Figur 2. Top-view SEM billede af en CNT bundt. Dette viser en 2 um bred CNT bundt dyrkes i en kontakt åbning, som blev ætset indeSiO 2. Dette tal er blevet ændret fra 16, med tilladelse fra Elsevier. Klik her for at se en større version af dette tal.

Figur 3
Figur 3. SEM tværsnit af CNT via. Tværsnit af en 2 um bred og 1 um lange CNT test via fremstillet ved anvendelse af mekanisk spaltning efter metallisering. Dette tal er blevet ændret fra 16, med tilladelse fra Elsevier. Klik her for at se en større version af dette tal.

Figur 4
Figur 4. Raman-spektret af et CNT bundt dyrket under anvendelse af en Co t 350 ° C. Navnene på de Raman-bånd er angivet. Den sorte kurve viser de rå måledata. For alle bands en Lorentz fitting udføres (grøn stiplede kurver), med undtagelse af D 'bånd, som er monteret med en Gauss 18. Klik her for at se en større version af dette tal.

Figur 5
Figur 5. IV målinger af CNT test vias med forskellige diametre. Symbolerne repræsenterer måledata, mens den faste linje angiver en lineær mindste kvadraters montering til måledata. De elektriske modstande for de forskellige vias som bestemt ud fra hældningen af ​​den lineære tilpasning er angivet. Dette tal er blevet ændret fra 16, med tilladelse fra Elsevier.tp_upload / 53260 / 53260fig5large.jpg "target =" _ blank "> Klik her for at se en større version af dette tal.

Figur 6
Figur 6. Sammenligning af CNT bundle resistivitet med værdier fra litteraturen. Modstanden beregnes ud fra modstanden og via dimensioner. Det sammenlignes med værdier fra litteraturen, og CNT vias fremstillet ved forskellige temperaturer under anvendelse af den i dette arbejde fremgangsmåde. Dette tal er blevet ændret fra 16, med tilladelse fra Elsevier. Klik her for at se en større version af dette tal.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

Figur 1 viser en skematisk oversigt over strukturen fremstillet i dette arbejde, og som blev anvendt til 4-punkts probe målinger. Da potentialet måles gennem sonder bærer ingen strøm, kan måles nøjagtigt spændingsfald (VH-VL) i den centrale CNT bundt og dets kontakter til metallet. Større diameter CNT bundter anvendes til at kontakte bunden TiN lag fra kontaktfladerne, med henblik på at mindske den samlede modstand for den aktuelle tvinger prober og maksimere potentialet fald på den centrale CNT bundt.

Som det kan ses af figur 2, blev CNT held dyrket inde åbningerne ætset i SiO2 med en længde omtrent den samme som dybden af hullet (1 um). Det er afgørende, at længden af ​​CNT er omtrent den samme som dybden af ​​hullet, for at opnå konform coating af den øverste metal kontakt. Bundterne vises unIform, som også hjælper i overensstemmende belægning af metallet. Rethed og lodrette indstilling af rørene kan tydeligt ses i tværsnittet vist i figur 3. Ved at tælle, blev massefylden af CNT bundt anslået til ca. 5x10 10 rør / cm2. Brug af transmissionselektronmikroskopi den gennemsnitlige diameter af rørene viste sig at være 8 nm, som blev vist andetsteds 16. På grund af de lave temperaturer vækst CNT vægge indeholder mange fejl gør bestemmelse af antallet af vægge vanskelig. Rørene synes at have en hul kerne, men bambus sporkrydsninger er blevet observeret. Tværsnittet viser også den nederste tinlaget og offer Ti lag, som er delvis fjernet under SiO2 under den våde ætsning. Hvis åbningerne er placeret lukket sammen ætsningstiden af ​​offer Ti lag kan have skal optimeres for at minimere underetch at forhindre oxid delaminering. På grund af den tørre ætsning af hullet, erafstanden mellem SiO2 og CNT bundt er minimal, hvilket er afgørende for at forhindre forstøvet Ti og Al dannes kortslutninger omkring CNT bundt.

Brug af Raman data krystalliniteten (eller kvalitet) af CNT kan undersøges. Da de forskellige Raman-bånd er tæt på hinanden-anden deconvolution af de bands er nødvendig, som beskrevet andetsteds 18. Fra Raman Dataene i figur 4 er det klart, at en stærk D og D 'bånd kan observeres, som er forårsaget af Raman-spredning med defekter, mens G-båndet er relateret til CC binding. De to andre bands er svage Raman funktioner, der indgår mere nøjagtig montering.

Det er kendt, at en lav temperatur i vækst generelle resulterer i en lavere kvalitet CNT 18. Normalt D løbet G intensitet forhold (jeg D / G) anvendes til at vurdere kvaliteten af graphitic materialer, hvilket er 1,1 i figur 4. Som har væreda vist ved for eksempel Ferrari og Robertson 20, pleje skal tages, når du bruger kun dette bånd forhold. Med stigende kvaliteten af CNT, først I D / G forholdet stiger, indtil en vis mængde krystalvand er nået, hvorefter forholdet aftager monotont. På grund af den meget lave væksttemperatur, CNT vises i dette arbejde at have en krystallinitet under denne tærskel 16. I disse tilfælde kan bruges i fuld bredde ved halv maksimum af D-båndet til at sammenligne CNT prøver fremstillet ved forskellige procesbetingelser 18. Det kan forventes, at den lave kvalitet af CNT i væsentlig grad vil påvirke den elektriske ydeevne.

At dømme ud fra den næsten fuldstændige lineære opførsel af IV egenskaber i figur 5, kontakterne mellem CNT og det øverste og nederste metallag er ohmsk. Modstanden i bundtet falder med en diameter, som er forventeligt som mere CNT kan foretage i Parallel til større bundter. Den gode kontakt mellem CNT og metallerne tilskrives anvendelsen af Ti 19 og TiN, som er mere modstandsdygtig mod oxidation 21. Desuden fandt vi, at på grund af manglen på enhver dielektriske beklædningssystemer trin af CNT efter vækst (for eksempel ved anvendelse spin-på glas), noget som ofte anvendes i litteraturen i kombination med kemisk mekanisk polering (CMP) 22,23, kontaktmodstanden til CNT er lav som følge af indlejring af CNT tips i top metal 24.

Når man sammenligner resistiviteter af CNT bundter med litteraturen, som det sker i figur 6, resultaterne er blandt middelværdierne i litteraturen. Men væksttemperaturen anvendt i dette arbejde er rekordlav. Resultaterne af Yokoyama et al. 13 er den laveste resistivitet rapporteret i litteraturen, ved anvendelse af kun 40 ° C højere væksttemperatur. Men det udstyr, der anvendes til Co partikel deposition i deres arbejde er sandsynligvis ikke skalerbar til store volumen produktion. Klart resistiviteten falder med stigende væksttemperatur, hvilket kan være fordelagtigt til anvendelse tillader højere temperaturer vækst. Når man sammenligner resistiviteten af ​​CNT bundter med traditionelle interconnect metaller som Cu (1,7 μΩ cm), er det klart, at der kræves en drastisk reduktion af den specifikke modstand. Forbedring af kvaliteten af ​​CNT og bundtet tæthed, ved at optimere vækstbetingelserne, vil være påkrævet. Dette skal gøres uden at øge temperaturen vækst, med henblik på at muliggøre integration med moderne lav K materialer og fleksible substrater.

Vi har således vist en teknik til integrering af lav temperatur CNT vækst og integration i standard halvlederfabrikation. Denne teknik er blevet anvendt til fremstilling CNT via test strukturer og er for nylig blevet anvendt til fremstilling af CNT super kondensatorer 25 </ sup>.

Subscription Required. Please recommend JoVE to your librarian.

Materials

Name Company Catalog Number Comments
Si (100) wafer 4" International Wafer Service Resisitivity: 2-5 mΩ-cm, thickness: 525 µm 
Ti-sputter target (99.995% purity) Praxair
Al (1% Si)-sputter target (99.999% purity) Praxair
Co (99.95% purity) Kurt J. Lesker
SPR3012 positive photoresist Dow Electronic Materials
MF-322 developer Dow Electronic Materials
HNO3 (99.9%) KMG Ultra Pure Chemicals
HNO3 (69.5%) KMG Ultra Pure Chemicals
HF 0.55% Honeywell
Tetrahydrofuran JT Baker
Acetone Sigma-Aldrich
ECI3027 positive photoresist AZ
Tetraethyl orthosilicate (TEOS) Praxair
N2 (99.9990%) Praxair
O2 (99.9999%) Praxair
CF4 (99.9970%) Praxair
Cl2 (99.9900%) Praxair
HBr (99.9950%) Praxair
Ar (99.9990%) Praxair
C2F6 (99.9990%) Praxair
CHF3 (99.9950%) Praxair
H2 (99.9950%) Praxair
C2H2 (99.6000%) Praxair
EVG 120 coater/developer EVG
ASML PAS5500/80 waferstepper ASML
SPTS Ωmega 201 plasma etcher SPTS Used for Si and metal etching
SPTS Σigma sputter coater SPTS
Novellus Concept One PECVD LAM
Drytek 384T plasma etcher LAM Used for oxide etching
CHA Solution e-beam evaporator CHA
AIXTRON BlackMagic Pro CVD tool AIXTRON Carbon nanotube growth
Philips XL50 scanning electron microscope FEI
Tepla 300 PVA TePla Resist plasma stripper
Avenger rinser dryer Microporcess Technologies
Leitz MPV-SP reflecometer Leitz
Renishaw inVia Raman spectroscope Renishaw
Agilent 4156C parameter spectrum analyzer Agilent
Cascade Microtech probe station Cascade Microtech

DOWNLOAD MATERIALS LIST

References

  1. International Technology Roadmap for Semiconductors. , Available from: http://public.itrs.net (2013).
  2. Sun, S. C. Process technologies for advanced metallization and interconnect systems. Technical digest of the IEEE International Electron Devices Meeting. , 765-768 (1997).
  3. Robertson, J. Growth of nanotubes for electronics. Mater. Today. 10 (1-2), 36-43 (2007).
  4. Wei, B. Q., Vajtai, R., Ajayan, P. M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79 (8), 1172-1174 (2001).
  5. Rossnagel, S. M., Wisnieff, R., Edelstein, D., Kuan, T. S. Interconnect issues post 45nm. Technical digest of the IEEE International Electron Devices Meeting. , 89-91 (2005).
  6. Pop, E., Mann, D., Wang, Q., Goodson, K., Dai, H. Thermal Conductance of an Individual Single-Wall Carbon Nanotube above Room Temperature. Nano Lett. 6 (1), 96-100 (2006).
  7. Chiodarelli, N., et al. Measuring the electrical resistivity and contact resistance of vertical carbon nanotube bundles for application as interconnects. Nanotechnology. 22 (8), 085302 (2011).
  8. Choi, Y. -M., et al. Integration and Electrical Properties of Carbon Nanotube Array for Interconnect Applications. Proceedings of the Sixth IEEE Conference on Nanotechnology. , 262-265 (2006).
  9. Dijon, J., et al. Ultra-high density Carbon Nanotubes on Al-Cu for advanced Vias. Technical digest of the IEEE International Electron Devices Meeting. , 33-34 (2010).
  10. Kreupl, F., et al. Carbon nanotubes in interconnect applications. Microelectron. Eng. 64 (1-4), 399-408 (2002).
  11. Vereecke, B., et al. Characterization of carbon nanotube based vertical interconnects. Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials. , 648-649 (2012).
  12. Vollebregt, S., Ishihara, R., Derakhshandeh, J., vander Cingel, J., Schellevis, H., Beenakker, C. I. M. Integrating low temperature aligned carbon nanotubes as vertical interconnects in Si technology. Proceedings of the 11th IEEE Conference on Nanotechnology. , 985-990 (2011).
  13. Yokoyama, D., et al. Electrical Properties of Carbon Nanotubes Grown at a Low Temperature for Use as Interconnects. Jpn J. App. Phys. 47 (4), 1985-1990 (2008).
  14. Van der Veen, M. H., et al. Electrical Improvement of CNT Contacts with Cu Damascene Top Metallization. Proceedings of the IEEE International Interconnect Technology Conference. , 193-195 (2013).
  15. Istratov, A. A., Hieslmair, H., Weber, E. R. Iron contamination in silicon technology. Appl. Phys. A. 70, 489-534 (2000).
  16. Vollebregt, S., Tichelaar, F. D., Schellevis, H., Beenakker, C. I. M., Ishihara, R. Carbon nanotube vertical interconnects fabricated at temperatures as low as 350 °C. 71, 249-256 (2014).
  17. Kikkawa, T., Inoue, K., Imai, K. Cobalt silicide technology. Silicide Technology for Integrated Circuits. , The Institution of Engineering and Technology. 77-94 (2004).
  18. Vollebregt, S., Ishihara, R., Tichelaar, F. D., Hou, Y., Beenakker, C. I. M. Influence of the growth temperature on the first and second-order Raman band ratios and widths of carbon nanotubes and fibers. Carbon. 50 (10), 3542-3554 (2012).
  19. Lim, S. C., et al. Contact resistance between metal and carbon nanotube interconnects: Effect of work function and wettability. Appl. Phys. Lett. 95 (26), 264103 (2009).
  20. Ferrari, A. C., Robertson, J. Interpretation of Raman spectra of disordered and amorphous carbon. Phys. Rev. B. 61 (20), 14095-14107 (2000).
  21. Awano, Y., et al. Carbon nanotube via interconnect technologies: size-classified catalyst nanoparticles and low-resistance ohmic contact formation. Phys. Status Solidi (a). 203 (14), 3611-3616 (2006).
  22. Van der Veen, M. H., et al. Electrical characterization of CNT contacts with Cu Damascene top contact. Microelectron. Eng. 106, 106-111 (2012).
  23. Horibe, M., Nihei, M., Kondo, D., Kawabata, A., Awano, Y. Mechanical Polishing Technique for Carbon Nanotube Interconnects in ULSIs. Jpn J. App. Phys. 43 (9A), 6499-6502 (2004).
  24. Vollebregt, S., Chiaramonti, A. N., Ishihara, R., Schellevis, H., Beenakker, C. I. M. Contact resistance of low-temperature carbon nanotube vertical interconnects. Proceedings of the 12th IEEE Conference on Nanotechnology. , 424-428 (2012).
  25. Fiorentino, G., Vollebregt, S., Tichelaar, F. D., Ishihara, R., Sarro, P. M. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances. Nanotechnology. 26 (6), 064002 (2015).

Tags

Engineering Carbon nanorør kemisk dampudfældning forbinder halvleder fabrikation katalysator integrerede kredsløb scanning elektronmikroskopi Raman spektroskopi elektrisk karakterisering
Fabrikation af lav temperatur kulstof nanorør Lodrette Interconnect Kompatibel med Semiconductor Technology
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Vollebregt, S., Ishihara, R.More

Vollebregt, S., Ishihara, R. Fabrication of Low Temperature Carbon Nanotube Vertical Interconnects Compatible with Semiconductor Technology. J. Vis. Exp. (106), e53260, doi:10.3791/53260 (2015).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter