Summary

In Situ Tidsberoende dielektriska genombrottet i transmissionselektronmikroskop: En möjlighet att förstå mekanismen Fel i Microelectronic Devices

Published: June 26, 2015
doi:

Summary

The time-dependent dielectric breakdown (TDDB) in on-chip interconnect stacks is one of the most critical failure mechanisms for microelectronic devices. This paper demonstrates the procedure of an in situ TDDB experiment in the transmission electron microscope, which opens a possibility to study the failure mechanism in microelectronic products.

Abstract

The time-dependent dielectric breakdown (TDDB) in on-chip interconnect stacks is one of the most critical failure mechanisms for microelectronic devices. The aggressive scaling of feature sizes, both on devices and interconnects, leads to serious challenges to ensure the required product reliability. Standard reliability tests and post-mortem failure analysis provide only limited information about the physics of failure mechanisms and degradation kinetics. Therefore it is necessary to develop new experimental approaches and procedures to study the TDDB failure mechanisms and degradation kinetics in particular. In this paper, an in situ experimental methodology in the transmission electron microscope (TEM) is demonstrated to investigate the TDDB degradation and failure mechanisms in Cu/ULK interconnect stacks. High quality imaging and chemical analysis are used to study the kinetic process. The in situ electrical test is integrated into the TEM to provide an elevated electrical field to the dielectrics. Electron tomography is utilized to characterize the directed Cu diffusion in the insulating dielectrics. This experimental procedure opens a possibility to study the failure mechanism in interconnect stacks of microelectronic products, and it could also be extended to other structures in active devices.

Introduction

Eftersom Cu sammanbinder var först infördes i tekniken extremt storskalig integration (ULSI) 1997 1, låg-k och ultra-low-k (ULK) dielektrikum har antagits i back-end-of-line (BEoL) som isoleringsmaterial mellan on-chip anslutningar. Kombinationen av nya material, t.ex. Cu för minskat motstånd och låg k / ULK dielektrikum för lägre kapacitans, övervinner effekterna av ökad resistens-kapacitans (RC) fördröjningen orsakad av samtrafik dimensionell krympning 2, 3. Dock denna förmån inkräktat av den fortsatt aggressiva skalning av mikroelektroniska apparater under de senaste åren. Användningen av lågprisflyg k / ULK material resulterar i olika utmaningar i tillverkningsprocessen och för produktens tillförlitlighet, särskilt om samtrafik tonen når ca 100 nm eller mindre 4-6.

TDDB avser den fysiska skadetypen av ett dielektriskt material såsom en funktion av tidenligt ett elektriskt fält. Den TDDB tillförlitlighetstest utförs vanligtvis under accelererade betingelser (förhöjda elektriska fältet och / eller förhöjd temperatur).

Den TDDB i on-chip samtrafik stackar är en av de mest kritiska skademekanismer för mikroelektronik, som redan har tagit upp intensiva oro i tillförlitlighet samhället. Den kommer att fortsätta att vara i rampljuset av tillförlitlighets ingenjörer sedan ULK dielektrikum med ännu svagare elektriska och mekaniska egenskaper att integreras i enheterna inom avancerade tekniknoder.

Dedikerade experiment har utförts för att undersöka TDDB felmekanism 7-9, och en betydande mängd arbete har investerats för att utveckla modeller som beskriver sambandet mellan elektriska fält och livslängd enheterna 10-13. De befintliga studier gynnar samhället av tillförlitlighets ingenjörer inom mikroelektronik, emellertid många ChallenGES fortfarande existerar och många frågor återstår att besvara i detalj. Till exempel, för att beprövade modeller beskriva de fysiska felmekanism och nedbrytnings kinetik i TDDB processen och respektive experimentell verifiering saknas fortfarande. Som ett särskilt behov, behövs en mer lämplig modell för att ersätta den konservativa √E-modell 14.

Som en mycket viktig del av TDDB undersökningen är typiska felanalys inför en helt ny utmaning, det vill säga ger omfattande och konkreta bevis för att förklara fysiken av skademekanismer och nedbrytnings kinetik. Tydligen inspektera miljontals vias och mätare hos nano Cu linjer en efter en och ex situ avbildning misslyckande webbplats är inte ett lämpligt val för hinder denna utmaning, eftersom det är mycket tidskrävande, och bara begränsad information om kinetiken för skademekanism kan åstadkommas. Därför har en angelägen uppgift framkommit att utveckla ennd att optimera experiment och för att få ett bättre förfarande för att studera TDDB skademekanismer och nedbrytnings kinetik.

I denna uppsats kommer vi att visa in situ experimentell metod för att undersöka felmekanism TDDB i Cu / ULK interconnect stackar. En TEM med förmåga hög bildkvalitet och kemisk analys används för att studera kinetiska processen på särskilda provstrukturer. In situ elektriskt test är integrerad i TEM-experiment för att åstadkomma en förhöjd elektriskt fält till de dielektrika. En skräddarsydd "tip-to-tip" struktur, som består av helt inkapslade Cu anslutningar och isolerad med ett ULK material, är utformad i 32 nm CMOS tekniknoden. Den experimentella proceduren som beskrivits här kan också utvidgas till andra strukturer i aktiva anordningar.

Protocol

1. Förbereda prov för Fokuserad jonstråle (FIB) gallring (Figur 1) Cleave hela skivan i små marker (~ 10 mm x 10 mm) med en diamant skrivare. Markera positionerna för "tip-to-tip" struktur på markerna. Såg chip med en tärningsmaskin för att få stänger av 60 pm med 2 mm storlek. Baren inbegriper "spets-till-spets" struktur i centrum. Limma målet fältet på Cu halv ring med hjälp av superlim. Därefter limma baren på en Cu prov skede också med hj…

Representative Results

Figur 4 visar ljusa fält (BF) TEM bilder från en in situ-test. Det är delvis brutit TaN / Ta hinder och befintliga Cu atomer i ULK dielektrikum före elektriska testet (figur 4A) på grund av förlängdes lagring i omgivningen. Efter endast 376 s vid 40 V, började den dielektriska genombrottet och åtföljdes med två större migreringsvägar av koppar från M1 metall, som har en positiv potential med avseende på jordsidan 15-16. De diffunderade Cu partiklar i U…

Discussion

Förutsättningen för framgång i TDDB experimentet är god provberedning, särskilt i FIB malningsprocessen i SEM. För det första har ett tjockt Pt-skikt på toppen av "spets-till-spets" struktur som skall avsättas. Tjockleken och storleken av Pt-skikt kan justeras genom SEM operatören, men måste följa tre principer: (1) Tjockleken och storleken är tillräckligt för att skydda målområdet från möjlig jonstråle skador under hela malningsprocessen; (2) Det finns fortfarande ett relativt tjockt Pt-s…

Disclosures

The authors have nothing to disclose.

Acknowledgements

The authors would like to thank Rüdiger Rosenkranz and Sven Niese (Fraunhofer IKTS-MD) for their assistance in sample preparation, and Ude Hangen, Douglas Stauffer, Ryan Major and Oden Warren (Hysitron Inc.) for their technical support on the PI95 TEM holder. The support of the Center for Advancing Electronics Dresden (cfaed) and the Dresden Center for Nanoanalysis (DCN) at Technische Universität Dresden is acknowledged as well.

Materials

Automatic Dicing Saw DISCO Kiru-Kezuru-Migaku Technologies
Scanning Electron Microscope Zeiss Zeiss Nvision 40
Picoindentor Hysitron Hysitron Pi95
Keithley SourceMeter Keithley Keithley 2602/237
Transmission Electron Microscope FEI FEI Tecnai F20
Transmission Electron Microscope Zeiss Zeiss Libra 200

References

  1. Edelstein, D., et al. Full Copper Wiring in a Sub-0.25 µm CMOS ULSI Technology. IEDM Tech. Dig. , 773-776 (1997).
  2. List, S., Bamal, M., Stucchi, M., Maex, K. A global view of interconnects. Microelectron. Eng. 83 (11/12), 2200-2207 (2006).
  3. Meindl, J. D., Davis, J. A., Zarkesh-Ha, P., Patel, C. S., Martin, K. P., Kohl, P. A. Interconnect opportunities for gigascale integration. IBM J. Res. Develop. 46 (2/3), 245-263 (2002).
  4. Zhang, X. F., Wang, Y. W., Im, J. H., Ho, P. S. Chip-Package Interaction and Reliability Improvement by Structure Optimization for Ultralow-k Interconnects in Flip-Chip Packages. IEEE Trans. Device Mater. Reliab. 12 (2), 462-469 (2012).
  5. Lee, K. D., Ogawa, E. T., Yoon, S., Lu, X., Ho, P. S. Electromigration reliability of dual-damascene Cu/porous methylsilsesquioxane low k interconnects. Appl. Phys. Lett. 82 (13), 2032 (2003).
  6. Zschech, E., et al. Stress-induced phenomena in nanosized copper interconnect structures studied by x-ray and electron microscopy. J. Appl. Phys. 106 (9), 093711 (2009).
  7. Tan, T. L., Hwang, N., Gan, C. L. Dielectric Breakdown Failure Mechanisms in Cu-SiOC low-k interconnect system. IEEE Trans. Bimodal. 7 (2), 373-378 (2007).
  8. Zhao, L., et al. Direct observation of the 1/E dependence of time dependent dielectric breakdown in the presence of copper. Appl. Phys. Lett. 98 (3), 032107 (2011).
  9. Breuer, T., Kerst, U., Boit, C., Langer, E., Ruelke, H., Fissel, A. Conduction and material transport phenomena of degradation in electrically stressed ultra-low-k dielectric before breakdown. J. Appl. Phys. 112 (12), 124103 (2012).
  10. Lloyd, J. R., Liniger, E., Shaw, T. M. Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics. J. Appl. Phys. 98 (8), 084109 (2005).
  11. Chen, F., et al. A Comprehensive Study of Low-k SiCOH TDDB Phenomena and Its Reliability Lifetime Model Development. , 46-53 (2006).
  12. Wu, W., Duan, X., Yuan, J. S. Modeling of Time-Dependent Dielectric Breakdown in Copper Metallization). IEEE Trans. Device Mater. Reliab. 3 (2), 26-30 (2003).
  13. Achanta, R. S., Plawsky, J. L., Gill, W. N. A time dependent dielectric breakdown model for field accelerated low-k breakdown due to copper ions. Appl. Phys. Lett. 91 (23), 234106 (2007).
  14. Chen, F., Shinosky, M. Soft breakdown characteristics of ultralow-k time-dependent dielectric breakdown for advanced complementary metal-oxide semiconductor technologies. J. Appl. Phys. 108 (5), 054107 (2010).
  15. Yeap, K. B., et al. An Experimental Methodology for the In-Situ Observation of the Time-Dependent Dielectric Breakdown Mechanism in Copper/Low-k On-Chip Interconnect Structures. , (2013).
  16. Yeap, K. B., et al. In situ study on low-k interconnect time-dependent-dielectric-breakdown mechanisms). J. Appl. Phys. 115 (12), 124101 (2014).
  17. Liao, Z. Q., et al. In-situ Study of the TDDB-Induced Damage Mechanism in Cu/Ultra-low-k Interconnect Structures. Microelectron. Eng. In Press, (2014).
  18. Liao, Z. Q., et al. A New In Situ Microscopy Approach to Study the Degradation and Failure Mechanisms of Time-Dependent Dielectric Breakdown: Set-Up and Opportunities. Adv. Eng. Mater. 16 (5), 486-493 (2014).
  19. Lee, Z., Meyer, J. C., Rose, H., Kaiser, U. Optimum HRTEM image contrast at 20 kV and 80 kV-Exemplified by graphene. Ultramicroscopy. 112 (1), 39-46 (2012).
  20. Bell, D. C., Russo, C. J., Kolmykov, D. V. 40 keV atomic resolution TEM. Ultramicroscopy. 114, 31-37 (2012).
  21. Kaiser, U., et al. Transmission electron microscopy at 20 kV for imaging and spectroscopy. Ultramicroscopy. 111 (8), 1239-1246 (2011).
  22. Egerton, R. F. Control of radiation damage in the TEM. Ultramicroscopy. 127, 100-108 (2013).
  23. Jiang, N. Damage mechanisms in electron microscopy of insulating materials. J. Phys. D: Appl. Phys. 46, 305502 (2013).
  24. Buban, J. P., Ramasse, Q., Gipson, B., Browning, N. D., Stahlberg, H. High-resolution low-dose scanning transmission electron microscopy. J. Electron Microsc. 59 (2), 103-112 (2010).
  25. Egerton, R. F., Li, P., Malac, M. Radiation damage in the TEM and SEM. Micron. 35 (6), 399-409 (2004).

Play Video

Cite This Article
Liao, Z., Gall, M., Yeap, K. B., Sander, C., Clausner, A., Mühle, U., Gluch, J., Standke, Y., Aubel, O., Beyer, A., Hauschildt, M., Zschech, E. In Situ Time-dependent Dielectric Breakdown in the Transmission Electron Microscope: A Possibility to Understand the Failure Mechanism in Microelectronic Devices. J. Vis. Exp. (100), e52447, doi:10.3791/52447 (2015).

View Video