Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove
Click here for the English version

Engineering

Fabricage van Surface Acoustic Wave-apparaten op lithium niobate

Published: June 18, 2020 doi: 10.3791/61013

Summary

Twee fabricagetechnieken, lift-off en natte etsen, worden beschreven in de productie van interdigitale elektrodetransducers op een piëzo-elektrisch substraat, lithium niobate, op grote schaal gebruikt om oppervlakte akoestische golven te genereren nu vinden breed nut in micro-tot nanoschaal vloeistof. De geproduceerde elektroden worden getoond om megahertz te veroorzaken om Rayleigh oppervlakte akoestische golven te bestellen.

Abstract

Manipulatie van vloeistoffen en deeltjes door akoestische actuatie op kleine schaal helpt de snelle groei van lab-on-a-chip toepassingen. Megahertz-order oppervlak akoestische golf (SAW) apparaten genereren enorme versnellingen op hun oppervlak, tot 108 m /s2, op zijn beurt verantwoordelijk voor veel van de waargenomen effecten die zijn gekomen om acoustofluidics te definiëren: akoestische streaming en akoestische stralingskrachten. Deze effecten zijn gebruikt voor deeltjes-, cel- en vloeistofbehandeling op microschaal en zelfs op nanoschaal. In dit artikel tonen we expliciet twee belangrijke fabricagemethoden van SAW-apparaten op lithium niobate: de details van lift-off en natte ettechnieken worden stap voor stap beschreven. Representatieve resultaten voor het elektrodepatroon dat op het substraat wordt afgezet, evenals de prestaties van saw die op het oppervlak wordt gegenereerd, worden in detail weergegeven. Fabricage trucs en het oplossen van problemen zijn ook gedekt. Deze procedure biedt een praktisch protocol voor hoge frequentie SAW-apparaat fabricage en integratie voor toekomstige microfluidics toepassingen.

Introduction

Vertrouwend op het bekende omgekeerde piëzo-elektrische effect, waarbij de atoomdipools een stam creëren die overeenkomt met de toepassing van een elektrisch veld, kunnen piëzo-elektrische kristallen zoals lithium niobate LiNbO3 (LN), lithium-tantaliet LiTaO3 (LT), worden gebruikt als elektromechanische transducers om SAW voor microschaaltoepassingen te genereren1,,2,,3,4,5,6. Door het genereren van verplaatsingen tot 1 nm op 10-1000 MHz, overwint SAW-aangedreven trillingen de typische obstakels van traditionele echografie: kleine acceleratie, grote golflengten en grote apparaatgrootte. Het onderzoek naar het manipuleren van vloeistoffen en zwevende deeltjes is onlangs versneld, met een groot aantal recente en toegankelijke beoordelingen7,8,9,10.

Fabricage van SAW-geïntegreerde microfluidic apparaten vereist fabricage van de elektroden-de interdigitale transducer (IDT)11-op de piëzo-elektrische substraat om de SAW te genereren. De kam-vorm vingers creëren compressie en spanning in het substraat wanneer aangesloten op een afwisselende elektrische ingang. De fabricage van SAW-apparaten is gepresenteerd in vele publicaties, of het nu gaat om het gebruik van lift-off ultraviolette fotolithografie naast metalen sputter of natte etprocessen10. Echter, het gebrek aan kennis en vaardigheden in het fabriceren van deze apparaten is een belangrijke barrière voor toegang tot acoustofluidics door vele onderzoeksgroepen, zelfs vandaag de dag. Voor de lift-off techniek12,13,14, een offerlaag (fotoresist) met een omgekeerd patroon wordt gemaakt op een oppervlak, zodat wanneer het doelmateriaal (metaal) wordt afgezet op de gehele wafer, kan het substraat te bereiken in de gewenste regio's, gevolgd door een "lift-off" stap om de resterende fotoistres te verwijderen. Daarentegen wordt in het natte etsproces15,16,,17,18het metaal eerst op de wafer afgezet en vervolgens wordt fotoresist gemaakt met een direct patroon op het metaal, om het gewenste gebied te beschermen tegen "etsen" weg door een metaal etchant.,

In een meest gebruikt ontwerp, de rechte IDT, wordt de golflengte van de resonerende frequentie van het SAW-apparaat gedefinieerd door de periodiciteit van de vingerparen, waarbij de vingerbreedte en de afstand tussen de vingers beide Equation /419zijn. Om de elektrische stroomtransmissie-efficiëntie en het massabelastingseffect op het substraat in evenwicht te brengen, wordt de dikte van het metaal dat op het piëzo-elektrisch materiaal wordt afgezet, geoptimaliseerd om ongeveer 1% van de SAW-golflengte20te zijn. Gelokaliseerde verwarming van Ohmic verliezen21, mogelijk inducerende voortijdige vingeruitval, kan optreden als onvoldoende metaal wordt afgezet. Aan de andere kant kan een te dikke metalen film leiden tot een vermindering van de resonerende frequentie van de IDT als gevolg van een massabelasting effect en kan eventueel onbedoelde akoestische holtes te creëren van de IDT's, het isoleren van de akoestische golven die ze genereren uit het omringende substraat. Als gevolg hiervan variëren de gekozen fotoresisten- en UV-belichtingsparameters in de lift-offtechniek, afhankelijk van verschillende ontwerpen van SAW-apparaten, met name frequentie. Hier beschrijven we in detail het lift-off proces om een 100 MHz SAW-genererende apparaat te produceren op een dubbelzijdige gepolijste 0,5 mm dikke 128° Y-rotated cut LN wafer, evenals het natte etsproces om het 100 MHz-apparaat van identiek ontwerp te fabriceren. Onze aanpak biedt een microfluïdisch systeem dat onderzoek mogelijk maakt van een verscheidenheid aan fysieke problemen en biologische toepassingen.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

1. ZAAG-inrichtingfabricage via de lift-off methode

  1. Voer wafer oplosmiddelreiniging uit in een klasse 100 clean room faciliteit door de 4" (101,6 mm) LN wafer onder te dompelen in aceton, gevolgd door isopropylalcohol (IPA), vervolgens gedeïoniseerd water (DI water), elk in een sonicatiebad gedurende 5 min. Pak de wafer op en blaas het oppervlak droog met stikstof (N2) gasstroom om het resterende DI-water uit de wafer te verwijderen.
    LET OP: Voer de aceton en IPA onderdompees uit in een rookkap. Vermijd inademing en huidcontact met IPA. Vermijd huid- en oogcontact met aceton. Niet slikken.
    LET OP: Laat geen vloeistof verdampen op de wafer; als er stof of vuil op het oppervlak, start deze stap over.
  2. Plaats de wafer op een kookplaat op 100 °C om 3 min te prebakeen.
    OPMERKING: Vanwege de pyro-elektrische eigenschap van LN, zal het statische ladingen en bijbehorende stress in de wafer genereren tijdens het verwarmen en koelen. Het wordt aanbevolen om de wafer op een stuk aluminium (Al) folie te plaatsen nadat het van de hete plaat is verwijderd om de statische ladingen vrij te geven en te voorkomen dat deze wordt gebroken.
  3. Plaats de wafer op een spin coater. Met behulp van een druppelaar, bedek ongeveer 75% van het oppervlak van de wafer met negatieve fotoresist (NR9-1500PY). Programmeer een snelheid van 500 tpm met een acceleratie van 3.000 rpm/s voor 5 sec en vervolgens een snelheid van 3.500 tpm met een acceleratie van 3.000 tpm/s voor 40 sec, om een laag fotoresist rond 1,3 μm te produceren.
    LET OP: Voer spincoating uit in een rookkap. Inademing van fotoresistische dampen kan hoofdpijn veroorzaken.
    LET OP: De dikte kan variëren afhankelijk van de conditie van de fotoresist en de gebruikte spincoater, zelfs met dezelfde spin-instellingen. De fotoresist kan worden gesponnen voorbij de rand en op de voorzijde van de wafer; dit moet worden verwijderd met behulp van een aceton-gedousd wattenstaafje. Links aanwezig, zal de fotoresist de wafer aan de kookplaat plakken tijdens de zachte bak.
  4. Om zacht te bakken, plaats de wafer op een kookplaat op 100 °C, helling de temperatuur tot 150 °C, houd het op 150 °C gedurende 1 min. Verplaats vervolgens de wafer van de kookplaat en laat de wafer afkoelen in de lucht tot kamertemperatuur (RT).
    OPMERKING: Als gevolg van het pyro-elektrische effect, als de temperatuur van de LN-wafer plotseling wordt veranderd, bijvoorbeeld door de LN-wafer rechtstreeks over te brengen op de kookplaat of Al-folie bij 150 °C, zal de thermische schok in de wafer waarschijnlijk verbrijzelen. De aanwezigheid van niet-uniform metaal op het oppervlak, zoals elektroden, verhoogt dit risico aanzienlijk. In toepassingen waar de transparantie van de LN niet belangrijk is, overweeg dan het gebruik van zogenaamde "zwarte" LN of nauwkeuriger verminderd LN, dat is donkerbruin en doorschijnend, maar heeft verwaarloosbare pyro-elektriciteit.
  5. Breng de wafer over op de masker aligner (MLA150) voor ultraviolette blootstelling. Stel de fotoresist bloot met een energiedosis van 400 mJ/cm2 bij 375 nm. De vereiste dosis kan variëren afhankelijk van het ontwerp van het masker en de leeftijd en conditie van de fotoresist.
    OPMERKING: De golfvoortplantingsrichting die door IDT's wordt geïnduceerd, moet langs de X-voortplantingsrichting liggen om ZAAG effectief te genereren. Met andere woorden, dit impliceert dat de "vingers" van de IDT loodrecht op de X-asrichting moeten staan. Typische LN wafer fabrikanten plaatsen de primaire (grotere) wafer plat (rechte rand naast wafer) loodrecht op de X-as, zodat uw IDT vingers parallel moeten zijn aan deze flat. Sommige fabrikanten introduceren een tweede (kleinere) waferflat om de Y- en Z-asrichtingen aan te geven, maar dit detail is onbelangrijk voor SAW-generatie. Fabrikanten vragen vaak specificaties voor de oppervlakteafwerking van de wafer; als u een transparante wafer nodig hebt, vraag dan dubbelzijdige optisch gepolijste wafers aan. Houd er echter rekening mee dat LN birefringent is, dus elk object dat wordt verlicht met standaard laboratoriumlicht en door het materiaal wordt gezien, zal niet één maar twee beelden produceren. Het overwinnen van dit probleem wordt later besproken. Single-side gepolijst LN is een betere keuze voor SAW generatie als je niet hoeft te zien door de wafer, omdat valse akoestische golven worden verspreid door de ruwe achterkant.
  6. Plaats de wafer op een kookplaat op 100 °C gedurende 3 minuten voor een post-exposure bak. Breng het vervolgens over op Al folie en laat het afkoelen tot RT.
    LET OP: De patronen moeten zichtbaar zijn na de post-exposure bak. Zo niet, overweeg dan het strippen van de fotoresist en het proces opnieuw te starten vanaf stap 1.1 hierboven.
  7. Ontwikkel de wafer door het in een beker gevuld met pure RD6 ontwikkelaar voor 15 sec. Voorzichtig schudden de beker tijdens de ontwikkeling. Dompel de wafer 1 min onder in DI-water en spoel de wafer vervolgens af onder di-waterstroom. Gebruik ten slotte droge N2-stroom om het resterende DI-water uit de wafer te verwijderen. Laat nooit vloeistof verdampen op het waferoppervlak.
    LET OP: Ontwikkel de wafer in een rookkap. Vermijd het inademen van dampen of contact opnemen met de ontwikkelaar met ogen en huid.
    LET OP: De fotolithografie is voltooid na deze stap. Het protocol kan hier worden onderbroken.
  8. Bak de wafer hard 3 min op een kookplaat op 100 °C. Breng het vervolgens over op Al folie en laat het afkoelen tot RT.
    LET OP: Deze stap is om vocht te verwijderen uit de wafer en fotoresist om later uitgassen tijdens het sputteren te voorkomen.
  9. Voor elektrode sputter depositie, plaats de wafer in een sputter depositie systeem. Vacuüm de kamer tot 5 x 10-6 mTorr. Gebruik een 2,5 mTorr argon stroom, sputter chroom (Cr) met een vermogen van 200 W voor 5 nm als een hechting laag, gevolgd door sputteren Al met een vermogen van 300 W voor 400 nm om de geleidende elektroden te vormen.
    OPMERKING: De afzettingstijd moet worden berekend op basis van de verwachte dikte en de depositiesnelheid. Titanium (Ti) kan worden gebruikt in plaats van chroom, hoewel het verwijderingsproces moeilijker is, omdat Ti harder is. Goud (Au) wordt ook vaak afgezet als elektroden. Voor zaagapparaten met een hogere frequentie moet Al au echter vervangen om de massabelastingseffecten van de Au IDT-vingers te voorkomen, die de lokale SAW-resonerende frequentie onder de IDT verminderen, waardoor een akoestische holte ontstaat waaruit de ZAAG alleen met aanzienlijk verlies kan ontsnappen.
  10. Breng de wafer voor het lift-offproces over in een beker en dompel je onder in aceton. Sonicaat op gemiddelde intensiteit gedurende 5 min. Spoel af met DI water en droog de wafer met N2 flow.
    LET OP: Gebruik aceton in een rookkap. Vermijd inademing en huid- of oogcontact met aceton. Niet slikken.
    LET OP: Het protocol kan hier worden onderbroken.
  11. Gebruik een dicing saw om de hele wafer in kleine stukjes chips te dobbelen als SAW-apparaten voor verdere toepassingen.
    LET OP: Het proces is voltooid. Het protocol kan hier worden onderbroken.
    OPMERKING: In plaats van een zaag, een diamant-getiptwafel schrijver (of zelfs een glassnijder) kan worden gebruikt om dobbelstenen de LN wafer met enige oefening, maar als gevolg van de anisotropie van LN is het belangrijk om te schrijven en breken de wafer eerste langs schriftlijnen loodrecht op de X-as, gevolgd door die lijnen langs de X-as.

2. ZAAG-apparaatfabricage via de methode van natte ets

  1. Wafer oplosmiddel reiniging: In een klasse 100 clean room faciliteit door het onderdompelen van de 4 " (101,6 mm) LN wafer in aceton, gevolgd door IPA, dan DI water, elk in een sonicatie bad voor 5 min. Pick-up van de wafer en droog het oppervlak met behulp van N2 om de resterende DI water te verwijderen uit de wafer.
    LET OP: Gebruik aceton en IPA in een rookkap. Vermijd inademing en huidcontact met IPA. Vermijd aceton contact met huid en ogen. Niet slikken.
  2. Plaats de wafer op een kookplaat op 100 °C voor thermische behandeling gedurende 3 min. Breng het vervolgens over op Al folie om af te koelen naar RT.
  3. Plaats de wafer in een sputter depositie systeem. Vacuüm de kamer tot 5 x 10-6 mTorr. Gebruik argon stroom op 2,5 mTorr, sputter Cr met een vermogen van 200 W voor 5 nm als een hechtingslaag, gevolgd door sputteren Au met een vermogen van 300 W voor 400 nm om de geleidende elektroden te vormen.
    LET OP: Het protocol kan hier worden onderbroken.
  4. Plaats de wafer op een spin coater. Bedek met een druppelaar ongeveer 75% van het oppervlak van de wafer met positieve fotoresist (AZ1512). Programmeer een snelheid van 500 tpm met een acceleratie van 3.000 tpm/s voor 10 sec en vervolgens een snelheid van 4.000 tpm met een acceleratie van 3.000 tpm/s voor 30 sec, waardoor uiteindelijk een laag fotoresist rond 1,2 μm ontstaat.
    LET OP: Voer spincoating uit in een rookkap. Inademing van fotoresistische dampen kan hoofdpijn veroorzaken.
  5. Om zacht te bakken, plaats de wafer op een kookplaat op 100 °C gedurende 1 min. Breng het vervolgens over op Al folie en laat het afkoelen tot RT.
  6. Breng de wafer over op de masker aligner (MLA150) voor ultraviolette blootstelling. Stel de fotoresist bloot met een energiedosis van 150 mJ/cm2 bij 375 nm. De vereiste dosis kan variëren afhankelijk van het ontwerp van het masker en de leeftijd en conditie van de fotoresist.
  7. Plaats de wafer in een beker gevuld met pure AZ300MIF ontwikkelaar voor 30 sec. Voorzichtig schudden de beker tijdens de ontwikkeling. Dompel de wafer 1 min in DI-water en spoel de wafer vervolgens af onder di-waterstroom. Gebruik ten slotte droge N2-stroom om het resterende DI-water uit de wafer te verwijderen. Laat nooit vloeistof verdampen op het waferoppervlak.
    LET OP: Neem geen contact op met AZ300MIF met huid of ogen. Niet slikken.
  8. Dompel de wafer in een bekertje gevuld met Au etchant voor 90 sec, zachtjes schudden de beker. Na het spoelen van de wafer onder DI waterstroom, droog met N2 stroom om het resterende DI water uit de wafer te verwijderen. Laat nooit vloeistof verdampen op het waferoppervlak.
    LET OP: Goud etchant kan gevaarlijk zijn voor de ogen en de huid, en zal irritatie van de luchtwegen veroorzaken. Deze stap vereist meer persoonlijke beschermingsmiddelen (PPE), zoals veiligheidsglas, zwarte neopreen handschoenen, schort, enz.
  9. Dompel de wafer in een beker gevuld met Cr etchant voor 20 sec, zachtjes schudden de beker. Na het spoelen van de wafer onder DI waterstroom, droog met N2 stroom om het resterende DI water uit de wafer te verwijderen. Laat nooit vloeistof verdampen op het waferoppervlak.
    LET OP: Chroom etchant kan oog-, huid- en ademhalingsirritatie veroorzaken. Deze stap vereist ook meer PBM.
  10. Reinig de (monster)wafer, door het in aceton te stoppen, gevolgd door IPA en DI-water in een sonicatiebad gedurende 5 minuten per stuk. Pak de wafer op en droog met N2 gasstroom over het oppervlak van de wafer om het resterende DI water uit de wafer te verwijderen.
    LET OP: Gebruik aceton in een rookkap. Vermijd inademing en huidcontact aceton met huid en ogen. Niet slikken.
    LET OP: Deze stap is het verwijderen van de ongewenste fotoresist op de wafer. Het protocol kan hier worden onderbroken.
  11. Gebruik een dicing saw om de hele wafer in discrete SAW-apparaten te dobbelen voor verder gebruik.
    LET OP: Het proces is voltooid. Het protocol kan hier worden onderbroken.

3. Experimentele installatie en testen

  1. Let op het SAW-apparaat onder op een helder veld optische microscopie.
    LET OP: Er zijn mogelijk krassen over de metalen lagen op de LN. Over het algemeen zullen ze niet leiden tot een opmerkelijke invloed van de prestaties van het apparaat, zolang de krassen zijn niet diep genoeg om te resulteren in een open circuit.
  2. Voor SAW-actuatie bevestigen u absorbers aan beide uiteinden langs de voortplantingsrichting van het SAW-apparaat om gereflecteerde akoestische golven van de randen te voorkomen.
  3. Gebruik een signaalgenerator om een sinusoïdaal elektrisch veld toe te passen op de IDT op zijn resonerende frequentie van ongeveer 100 MHz. Een versterker moet worden aangesloten om het signaal te versterken.
  4. Gebruik een oscilloscoop om de werkelijke spanning, stroom en stroom op het apparaat te meten. De amplitude en frequentierespons van de SAW worden gemeten door een laser Doppler vibrometer (LDV); de SAW-actuated druppelbeweging wordt opgenomen met behulp van een high-speed camera bevestigd aan de microscoop.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

De te meten IDT is ontworpen om een resonerende frequentie op 100 MHz te hebben, omdat de vingerbreedte en de afstand ertussen 10 μm zijn, waardoor een golflengte van 40 μm ontstaat. Figuur 1 toont het SAW-apparaat en IDT dat met deze methode is vervaardigd.

Met behulp van een oscillerend elektrisch signaal dat overeenkomt met de resonerende frequentie van de IDT, kan SAW over het oppervlak van het piëzo-elektrische materiaal worden gegenereerd. De LDV meet de trilling via het Doppler-effect op het oppervlak, en door middel van signaalverwerking kan informatie zoals amplitude, snelheid, acceleratie en fase worden verkregen en weergegeven met behulp van de software. We illustreren de frequentierespons onder een frequentie-sweep van 90 tot 105 MHz, met een ingangsvermogen van 140 mW, een piek-naar-piekspanning van 70 V en piek-tot-piekstroom van 720 mA. Zoals figuur 2B aangeeft, is de amplitude van de SAW 19.444 uur bij een resonerende frequentie van 96.5844 MHz. De lichte verlaging van de frequentie van het 100 MHz-ontwerp wordt toegeschreven aan de massabelasting van de metalen IDT-elektroden. Figuur 2A illustreert de LDV-gemeten trilling van de ZAAG op het oppervlak, waarvan is aangetoond dat het zich voortplant uit de IDT's. De permanente golfverhouding (SWR) wordt berekend op 2,06, bepaald door gebruik te maken van de verhouding van maximale amplitude tot minimale amplitude (SK = 1 voor een zuivere reisgolf terwijl SWR = ∙ voor een zuivere staande golf), wat suggereert dat hier een goede reisgolf is verkregen.

We hebben ook de beweging aangetoond van een sessile druppel die door het SAW-apparaat werd bediend, onder een enkele frequentiesignaalingang (80,6 mW) bij de resonantie (96.5844 MHz). Op LN is een druppel van 0,2 μL op ongeveer 1 mm afstand van de IDT gepipeteerd (zie figuur 3A). Wanneer de SAW zich voortplant en de waterdruppel op het oppervlak tegenkomt, "lekt" het in de vloeistof onder de Rayleigh-hoek, vanwege het impedantieverschil van LN naar water, en berekend als de verhouding van de geluidssnelheid in deze twee media,

Equation 1

De in figuur 3B getoonde straalhoek bevestigde de aanwezigheid van SAW.

Figure 1
Figuur 1: Afbeeldingen van gefabriceerde apparaten. (A) Een gold-electrode IDT met een diafragma van 7 mm op een LN-substraat voor 100 MHz SAW-generatie en -voortplanting. (B) De vingers van de IDT. Schaalbalk: 200 μm. (De roosters aan de linkerkant zijn reflectoren om energieverlies te voorkomen.) De inzet illustreert de vingers bij een grotere vergroting. Schaalbalk: 50 μm. Klik hier om een grotere versie van dit cijfer te bekijken.

Figure 2
Figuur 2: LDV-meting van het ZAAG-apparaat. (A) Een momentopname van de reisgolf gegenereerd door de IDT. De SAW aanwezig op de LN substraat als het zich voortplant van de IDT. De fase is bepaald door het scannen van de LDV-kop op meerdere locaties, met de fase verwezen naar het elektrische ingangssignaal. (B) Een frequentierespons (amplitude vs. frequentie) van het SAW-apparaat van 90 MHz tot 105 MHz omvat de resonantie op 96.5844 MHz met 19.444 pm amplitude op het ingangsniveau van 140 mW van de LDV. Klik hier om een grotere versie van dit cijfer te bekijken.

Figure 3
Figuur 3: SAW-geïnduceerde druppelstraal. (A) De experimentele opstelling voor SAW-geïnduceerde sessile drop actuatie op LN. Schaalbalk: 5 mm. (B) SAW verspreidt zich van links naar rechts in de afbeeldingen. De druppelstraal, bij ongeveer de Rayleigh-hoek (22°) vindt plaats bij 80,6 mW-stroomtoevoer. Schaalbalk: 1 mm. Klik hier om een grotere versie van dit cijfer te bekijken.

Figure 4
Figuur 4: Regeling voor fotoresist links op het substraat. (A) Wanneer positieve fotoresist wordt gebruikt, heeft het een ongewenste trapeziumvormige vorm na de ontwikkeling. Het storten van metaal op een dergelijk oppervlak maakt het daaropvolgende lift-off proces moeilijk en gevoelig voor falen. (B) Het gebruik van een negatieve fotoresist produceert echter een omgekeerde trapeziumvormige vorm met overhang,waardoor het veel gemakkelijker wordt om de onderliggende fotoresist op te lossen en het metaal te verwijderen tijdens het opstijgen. Klik hier om een grotere versie van dit cijfer te bekijken.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

SAW-apparaten vervaardigd van beide methoden zijn in staat het genereren van nuttige reizen golven op het oppervlak, en deze methoden ondersteunen meer complexe processen om andere ontwerpen te produceren. De resonerende frequentie is meestal een beetje lager dan de ontworpen waarde, als gevolg van de massa belasting effect van het metaal afgezet op de top. Er zijn echter nog enkele punten die het waard zijn om te bespreken om problemen te voorkomen.

Lift-off methode
De keuze van de fotoresist is belangrijk. Het is mogelijk om een positieve fotoresist te gebruiken voor de fabricage, die niettemin moeilijker zal zijn. Omdat het onbelichte fotoresist is opgelost, zal het deel links op het substraat een trapeziumvormige vorm vormen, vooral bij onderbelichting, zoals overdreven in figuur 4A. Het metaal sputterde op de top van een dergelijke fotoresist zal voorkomen dat het oplosmiddel te penetreren en resulteren in problemen bij het verwijderen van het tijdens de lift-off stap. Aan de andere kant worden UV-blootgestelde gebieden van een negatieve fotoresist verwijderd, en, zoals blijkt uit figuur 4B,wordt een omgekeerde trapeziumvorm meestal gevormd met overhang die lift-off stap veel gemakkelijker maakt.

Afgezien van de lift-off probleem van positieve fotoresist, zullen de vingers uiteindelijk iets smaller dan ontworpen, dat wil zeggen, de afstand tussen hen zal iets groter zijn, als gevolg van de trapeziumvormige vorm. Met negatieve fotoresist is de afstand kleiner. Deze effecten veranderen de resonerende frequentie enigszins van de ontwerpintentie.

Bij het gebruik van negatieve fotoresist is de UV-blootstellingsdosis van cruciaal belang. Vanwege de verscheidenheid aan apparatuur, fotoresists en reagentia die vandaag beschikbaar zijn, zal de belichtingstijd die nodig is in uw fabricageproces zeer waarschijnlijk variëren. Observatie van het gefabriceerde apparaat resultaat kan u begeleiden in het proberen om te bepalen wat er mis ging. Overbelichting zorgt ervoor dat de vingers smaller zijn en de afstand breder dan ontworpen. Onderbelichting kan een deel van de fotoresist na de ontwikkeling achterlaten, in welk geval het metaal in het gewenste gebied samen met de dunne laag van de resterende fotoresist na het opstijgen zal afpellen. Soms hebben mensen de neiging om een enkele gepolijste LN wafer te gebruiken, zoals hierboven vermeld, wat opalescent is. De tijd en dosis die nodig zijn voor UV-blootstelling met een dergelijke wafer zal worden verhoogd, omdat het licht wordt verspreid aan de achterkant.

Natte etsmethode
De belangrijkste stap voor deze methode is om ervoor te zorgen dat de fotoresist volledig is opgelost uit het gebied waar metaal moet worden weggeëtst, anders zal de etchant worden geblokkeerd en de lithografie zal mislukken.

Als de metalen ets isotropisch, het komt zowel door als over de metalen laag, waardoor de vingers smaller dan ontworpen. Negatieve fotoresist is daarom een betere keuze in deze techniek om het ongewenste functieverlies te verminderen.

Beperkingen
Beide methoden zijn beperkt tot het fabriceren van functiematen tot meer dan een paar micrometer. Volgens onze ervaring in onze faciliteiten, kan de limiet worden geduwd tot zo klein als 2-3 μm. Als submicron-kenmerken vereist zijn, kunnen andere fabricagetechnieken worden ingeschakeld.

Subscription Required. Please recommend JoVE to your librarian.

Disclosures

De auteurs hebben niets te onthullen.

Acknowledgments

De auteurs zijn dankbaar voor de Universiteit van Californië en de NANO3 faciliteit aan de UC San Diego voor de levering van fondsen en faciliteiten ter ondersteuning van dit werk. Dit werk werd gedeeltelijk uitgevoerd bij de San Diego Nanotechnology Infrastructure (SDNI) van UCSD, een lid van de National Nanotechnology Coordinated Infrastructure, die wordt ondersteund door de National Science Foundation (Grant ECCS-1542148). Het hier gepresenteerde werk werd royaal ondersteund door een onderzoekssubsidie van de W.M. Keck Foundation. De auteurs zijn ook dankbaar voor de steun van dit werk door het Office of Naval Research (via Grant 12368098).

Materials

Name Company Catalog Number Comments
Absorber Dragon Skin, Smooth-On, Inc., Macungie, PA, USA Dragon Skin 10 MEDIUM
Amplifier Mini-Circuits, Brooklyn, NY, USA ZHL–1–2W–S+
Camera Nikon, Minato, Tokyo, Japan D5300
Chromium etchant Transene Company, INC, Danvers, MA, USA 1020
Developer Futurrex, NJ, USA RD6
Developer EMD Performance Materials Corp., Philidaphia, PA, USA AZ300MIF
Dicing saw Disco, Tokyo, Japan Disco Automatic Dicing Saw 3220
Gold etchant Transene Company, INC, Danvers, MA, USA Type TFA
Hole driller Dremel, Mount Prospect, Illinois Model #4000 4000 High Performance Variable Speed Rotary
Inverted microscope Amscope, Irvine, CA, USA IN480TC-FL-MF603
Laser Doppler vibrometer (LDV) Polytec, Waldbronn, Germany UHF-120 4” double-side polished 0.5 mm thick 128°Y-rotated cut lithium niobate
Lithium niobate substrate PMOptics, Burlington, MA, USA PWLN-431232
Mask aligner Heidelberg Instruments, Heidelberg, Germany MLA150 Fabrication process is performed in it.
Nano3 cleanroom facility UCSD, La Jolla, CA, USA
Negative photoresist Futurrex, NJ, USA NR9-1500PY
Oscilloscope Keysight Technologies, Santa Rosa, CA, USA InfiniiVision 2000 X-Series
Positive photoresist AZ1512 Denton Discovery 18 Sputter System
Signal generator NF Corporation, Yokohama, Japan WF1967 multifunction generator Wafer Dipper 4"
Sputter deposition Denton Vacuum, NJ, USA Denton 18
Teflon wafer dipper ShapeMaster, Ogden, IL, USA SM4WD1

DOWNLOAD MATERIALS LIST

References

  1. Ding, X., et al. Standing surface acoustic wave (SSAW) based multichannel cell sorting. Lab on a Chip. 12 (21), 4228-4231 (2012).
  2. Langelier, S. M., Yeo, L. Y., Friend, J. UV epoxy bonding for enhanced SAW transmission and microscale acoustofluidic integration. Lab on a Chip. 12 (16), 2970-2976 (2012).
  3. Rezk, A. R., Qi, A., Friend, J. R., Li, W. H., Yeo, L. Y. Uniform mixing in paper-based microfluidic systems using surface acoustic waves. Lab on a Chip. 12 (4), 773-779 (2012).
  4. Schmid, L., Weitz, D. A., Franke, T. Sorting drops and cells with acoustics: acoustic microfluidic fluorescence-activated cell sorter. Lab on a Chip. 14 (19), 3710-3718 (2014).
  5. Schmid, L., Wixforth, A., Weitz, D. A., Franke, T. Novel surface acoustic wave (SAW)-driven closed PDMS flow chamber. Microfluidics and Nanofluidics. 12 (1-4), 229-235 (2012).
  6. Shi, J., Mao, X., Ahmed, D., Colletti, A., Huang, T. J. Focusing microparticles in a microfluidic channel with standing surface acoustic waves (SSAW). Lab on a Chip. 8 (2), 221-223 (2008).
  7. Friend, J., Yeo, L. Y. Microscale acoustofluidics: Microfluidics driven via acoustics and ultrasonics. Reviews of Modern Physics. 83 (2), 647 (2011).
  8. Ding, X., et al. Surface acoustic wave microfluidics. Lab on a Chip. 13 (18), 3626-3649 (2013).
  9. Destgeer, G., Sung, H. J. Recent advances in microfluidic actuation and micro-object manipulation via surface acoustic waves. Lab on a Chip. 15 (13), 2722-2738 (2015).
  10. Connacher, W., et al. Micro/nano acoustofluidics: materials, phenomena, design, devices, and applications. Lab on a Chip. 18 (14), 1952-1996 (2018).
  11. White, R. M., Voltmer, F. W. Direct piezoelectric coupling to surface elastic waves. Applied Physics Letters. 7 (12), 314-316 (1965).
  12. Smith, H. I., Bachner, F. J., Efremow, N. A High-Yield Photolithographic Technique for Surface Wave Devices. Journal of the Electrochemical Society. 118 (5), 821-825 (1971).
  13. Bahr, A. Fabrication techniques for surface-acoustic-wave devices. Proc. Int. Specialists Seminar on Component Performance and Systems Applications of Surface Acoustic Wave Devices. , (1973).
  14. Smith, H. I. Fabrication techniques for surface-acoustic-wave and thin-film optical devices. Proceedings of the IEEE. 62 (10), 1361-1387 (1974).
  15. Wilke, N., Mulcahy, A., Ye, S. R., Morrissey, A. Process optimization and characterization of silicon microneedles fabricated by wet etch technology. Microelectronics Journal. 36 (7), 650-656 (2005).
  16. Madou, M. J. Fundamentals of microfabrication: the science of miniaturization. , CRC press. (2002).
  17. Köhler, M. Etching in Microsystem Technology. , Wiley. (1999).
  18. Brodie, I., Muray, J. J. The physics of micro/nano-fabrication. , Springer Science & Business Media. (2013).
  19. Dentry, M. B., Yeo, L. Y., Friend, J. R. Frequency effects on the scale and behavior of acoustic streaming. Physical Review E. 89 (1), 013203 (2014).
  20. Morgan, D. Surface acoustic wave filters: With applications to electronic communications and signal processing. , Academic Press. (2010).
  21. Pekarcikova, M., et al. Investigation of high power effects on Ti/Al and Ta-Si-N/Cu/Ta-Si-N electrodes for SAW devices. IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control. 52 (5), 911-917 (2005).

Tags

Engineering acoustofluidics oppervlakte akoestische golven lithium niobate interdigitale transducers lift-off natte etsen
Fabricage van Surface Acoustic Wave-apparaten op lithium niobate
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Mei, J., Zhang, N., Friend, J.More

Mei, J., Zhang, N., Friend, J. Fabrication of Surface Acoustic Wave Devices on Lithium Niobate. J. Vis. Exp. (160), e61013, doi:10.3791/61013 (2020).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter