Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove
Click here for the English version

Engineering

Fabricación de Baja Temperatura de nanotubos de carbono Interconexiones verticales Compatible con la tecnología de semiconductores

Published: December 7, 2015 doi: 10.3791/53260

Introduction

El cobre y tungsteno, los metales que se utilizan actualmente para las interconexiones en tecnología de última generación, muy gran escala de integración (VLSI), se están acercando a sus límites físicos en cuanto a fiabilidad y la conductividad eléctrica 1. Mientras que los transistores de baja escala general mejora su rendimiento, en realidad, aumenta la resistencia y la densidad de corriente de las interconexiones. Esto dio lugar a las interconexiones que dominan el funcionamiento del circuito integrado (IC) en términos de retardo y consumo de energía 2.

Los nanotubos de carbono (CNT) se han sugerido como alternativa para Cu y W metalización, especialmente para las interconexiones verticales (Vias) como CNT fácilmente pueden sido cultivados vertical 3. CNT han demostrado tener una excelente fiabilidad eléctrica, lo que permite un máximo de 1.000 veces mayor densidad de corriente de Cu 4. Por otra parte, la CNT no sufren de la superficie y el grano límite de dispersión, que es cada vez mayor la resistivity de Cu en la escala nanométrica 5. Finalmente, CNT han demostrado ser excelentes conductores térmicos 6, que pueden ayudar en la gestión térmica en los chips VLSI.

Para la integración exitosa de CNT en la tecnología VLSI es importante que los procesos de crecimiento de la CNT se hace compatible con la fabricación de semiconductores. Esto requiere que el crecimiento de la CNT (<400 ° C) el uso de materiales y equipos que se consideran compatibles y escalable para la fabricación a gran escala de baja temperatura. Aunque muchos ejemplos de vías de prueba de la CNT se han demostrado en la literatura 7,8,9,10,11,12,13,14, la mayoría de ellos utilizan Fe como catalizador que es considerado como un contaminante en el IC de fabricación 15. Además, la temperatura de crecimiento utilizado en muchos de estos trabajos es mucho mayor que el límite superior de 400 ° C. Preferiblemente CNT aún se cultiva por debajo de 350 ° C, con el fin de permitir la integración con dieléctricos de bajo kappa modernos o flexiblessustratos.

Aquí presentamos un método escalable para el crecimiento de CNT a temperaturas tan bajas como 350 ° C utilizando como catalizador Co 16. Este método es de interés para la fabricación de diferentes estructuras eléctricos que consisten en alineada verticalmente CNT en circuitos integrados, que van desde interconexión y los electrodos de supercondensadores y dispositivos de emisión de campo. El metal catalizador de Co se utiliza a menudo en la fabricación de IC para la fabricación de siliciuro de 17, mientras que el estaño es un material de barrera utilizado a menudo 7. Por otra parte, se demuestra un proceso para la fabricación de vías de prueba de la CNT, mientras que sólo el uso de técnicas de fabricación de semiconductores estándar. Con esto, vias de prueba CNT se fabrican, inspeccionados por microscopía electrónica de barrido (SEM) y la espectroscopia Raman, y eléctricamente caracterizado.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

Precaución: Por favor consulte todas las fichas de datos de seguridad de materiales pertinentes (MSDS) antes de usar. Varios de los productos químicos utilizados en el proceso de fabricación son muy tóxico y cancerígeno. Los nanomateriales pueden tener riesgos adicionales en comparación con su contraparte mayor. Utilice todas las prácticas de seguridad adecuadas cuando se trabaja con equipos, productos químicos o los nanomateriales, incluyendo el uso de controles de ingeniería (campana extractora de gases) y el equipo de protección personal (gafas de seguridad, guantes, ropa de sala limpia).

1. Alineación Marker Definición de Litografía

  1. Comience con grado industrial pulido de una sola cara de Si (100) obleas con cualquiera n o de tipo p dopaje.
  2. Escudo de la oblea con 1,4 micras de resina fotosensible positiva. Realizar una hexametildisilazano 90 sec tratamiento (HMDS) a 130 ° C para promover la adhesión de la resina fotosensible, seguido de enfriamiento de la oblea en un plato frío, spin-coating a la velocidad apropiada (3.500 rpm), y un 90 seg bake suave unat 95 ° C.
  3. El uso de una máscara de fotolitografía y la herramienta de la exposición exponer los marcadores de alineación, la dosis de exposición 120 mJ / cm 2.
  4. Realizar un único proceso de desarrollo charco. Realizar un 90 seg 115 ° C después de la exposición de pasteles, seguido de 60 segundos de desarrollo utilizando desarrollador y 90 seg dura hornee a 100 ° C para curar la resisten.
  5. Utilice un microscopio para inspeccionar si las aberturas en la capa protectora son de las dimensiones correctas.
  6. Etch 120 nm de Si mediante grabado por plasma de cloro. Este espesor da un buen contraste para los sistemas de alineación automática de la herramienta de exposición utilizada en este trabajo. Por ejemplo, usando un plasma inductivo acoplado (ICP): 20/40 sccm O 2 / CF 4, 5 mTorr, 60/500 W platina / potencia RF ICP, 10 seg grabado avance de óxido, seguido de 80/40 sccm Cl 2 / HBr , 60 mTorr, 20/500 W plato / potencia ICP RF, 35 seg Si etch.
  7. Utilice un separador de plasma de oxígeno para eliminar el fotoprotector (1 kW, 400 sccm O 2 con endpoint detección y 2 min overetch). A medida que la resina fotosensible se cura por el plasma normal solvente como la acetona no puede ser utilizado.
  8. Limpie las obleas. En primer lugar los puso durante 10 min en 99% HNO 3, seguido de un enjuague en agua DI hasta que la resistividad del agua es 5 mO (limpio orgánico). Después de esto limpia las obleas para 10 min en 65% HNO 3 a 110 ° C, seguido de un enjuague con agua DI hasta que la resistividad del agua es 5 mO (metal limpio). Use un secador de enjuagadora para secar las obleas.

2. metal de fondo y entre capas dieléctrica Deposición

  1. Utilice pulverización catódica con magnetrón para depositar la capa metálica inferior de la prueba a través de. Una pila de tres capas de metal necesita ser depositado: 500 nm de Ti, 50 nm de TiN, y 100 nm Ti. La primera capa de Ti es reducir la resistencia de la pila, el TiN es la capa de soporte real para el crecimiento CNT, y la parte superior Ti es proteger el TiN contra el daño de plasma cuando el grabado de la capa de SiO 2 12 2, de nuevo a 350 ° C la temperatura del sustrato.
  2. Uso de deposición química de vapor mejorada con plasma (PECVD), depositar una gruesa capa de SiO 2 1 m. Aquí ortosilicato de tetraetilo (TEOS) se utiliza como precursor a una temperatura de platina de 350 ° C.
    1. Controlar el espesor de la capa de SiO 2 usando una herramienta apropiada, por ejemplo un reflectómetro o elipsómetro.
  3. Escudo de la oblea con 1,4 m de material fotorresistente positivo, comenzando con un tratamiento de 90 seg HMDS a 130 ° C, seguido de enfriamiento de la oblea en un plato frío, spin-coating a la velocidad apropiada (3.500 rpm), y un 90 seg suave hornear a 95 ° C.
  4. El uso de una máscara de fotolitografía y la herramienta de la exposición, exponer el patrón deseado de aberturas, que será más tarde grabado en la SiO 2 to formar las vías, alineados a los marcadores de alineación, la dosis de exposición de 140 mJ / cm2.
  5. Realizar un único proceso de desarrollo charco comenzando con un 90 seg 115 ° C después de la exposición de pasteles, seguido de 60 segundos de desarrollo utilizando desarrollador y 90 seg dura hornee a 100 ° C.
  6. Utilice un microscopio para inspeccionar si las aberturas en la capa protectora son de las dimensiones correctas y si la superposición de los marcadores de alineación es correcta.
  7. Plasma etch las aberturas de contacto en el SiO2. Por ejemplo, utilizar un grabador de plasma triodo con C 2 F 6 / CHF 3 36/144 sccm a 180 mTorr y 300 W de potencia de RF. Si es necesario, realizar pruebas de velocidad de grabado sobre una oblea de prueba, a fin de reducir al mínimo sobre el grabado al 5% -10% en el tiempo.
    Nota: Aunque el Ti es resistente al ataque químico reactivo en esta química de flúor, la exposición prolongada al plasma se traducirá en el grabado de la capa física Ti. Si la capa de TiN se expone al plasma esto tendrá un influen negativoce en el crecimiento de la CNT 12. No utilice grabado húmedo ya que esto dará lugar a demasiada ampliación de las aberturas, por lo que la metalización superior en la parte 4 problemático.
  8. Retire la capa de Ti sacrificial mediante grabado húmedo en 0.55% HF durante 60 segundos. Después del ataque enjuagar las obleas con agua DI hasta que la resistividad del agua es 5 mO y utilizar un secador de enjuagadora para secar las obleas.
    Nota: El uso de un microscopio se puede comprobar si la capa de Ti está grabado, la capa de TiN tendrá un color dorado-marrón, mientras que el Ti es de color gris metálico.

3. Catalizador Deposición y Crecimiento CNT

  1. Se evapora 5 nm de Co utilizando un evaporador de haz de electrones. Bomba abajo hasta al menos 2x10 -6 Torr, y el calor de las obleas a 60 ° C utilizando lámparas de bajo vacío antes de depositar para eliminar cualquier película de agua. La foto-resistir usada para definir las aberturas de contacto se mantiene en la oblea para proporcionar auto-alineación del catalizador a las aberturas de contacto en el SiO2.
  2. Eliminarla Co fuera de las aberturas de contacto por el despegue. Para Co se encontró que tetrahidrofurano (THF) da los mejores resultados de despegue y crecimiento a bajas temperaturas. N-metil-2-pirrolidona (NMP), que fue utilizado previamente para el despegue después de la evaporación Fe, se encontró que el daño una medida de la Co también por ejemplo para prevenir cualquier crecimiento de CNT alineados. Ponga la oblea durante 15 minutos en un baño de ultrasonidos con THF a 35 ° C. Enjuague con agua DI durante 5 min y secar con una pistola de spinner o nitrógeno.
  3. Inspeccione la oblea bajo un microscopio y verificar resistir residuos. Si quedan residuos realizar un tratamiento más largo de ultrasonidos en THF, y opcionalmente usar un hisopo de algodón suave especial para fines de despegue para limpiar manualmente los residuos de distancia.
  4. Realizar el crecimiento CNT mediante deposición química de vapor de baja presión (LPCVD). Utilice la siguiente receta: 8 min pre-recocido a 350 ° C con 700 sccm H 2 a 80 mbar, seguido por el crecimiento de CNT mediante la adición de 50 sccm C 2 H 2. A 350 ° C, 60min del crecimiento da más o menos 1 m de CNT. Si es necesario realizar una prueba de crecimiento para sintonizar la altura, que debe ser del mismo grosor que la capa de SiO 2. Enfriar el reactor y purgar el uso de N 2.
  5. Utilice un microscopio electrónico de barrido para comprobar la altura de la CNT en el interior de las aberturas debajo de 45 ° de inclinación, o preparando una sección transversal.
  6. Inspeccione las muestras usando la espectroscopia Raman para determinar la cristalinidad de la CNT 18.

4. Topside Metalización

  1. Utilice pulverización catódica para depositar el metal de la parte superior. Como Ti es un buen metal para hacer contacto con CNT 19, primero por pulverización catódica 100 nm de Ti, seguido de 2 micras de Al (1% Si) sin romper el vacío.
  2. Escudo de la oblea con 3,1 micras de resina fotosensible positiva con mayor viscosidad, comenzando con un tratamiento de 90 seg HMDS a 130 ° C, seguido de enfriamiento de la oblea en un plato frío, spin-recubrimiento a 3000 rpm, y una cocción suave 90 seg a 95DO.
  3. El uso de una máscara de fotolitografía y la herramienta de la exposición exponer el patrón de metal superior alineado con los marcadores de alineación, la dosis de exposición 420 mJ / cm 2, el enfoque de -1.
  4. Realizar un único proceso de desarrollo charco. Esto comienza con un 90 seg 115 ° C después de la exposición de pasteles, seguido de 60 segundos de desarrollo utilizando desarrollador y 90 seg dura hornee a 100 ° C.
  5. Utilice un microscopio para inspeccionar si las líneas en la capa protectora son de las dimensiones correctas y si la superposición de los marcadores es correcta.
  6. Etch la pila de Ti / Al utilizar el grabado por plasma de cloro. Por ejemplo el uso de un plasma de acoplamiento inductivo: 30/40 sccm Cl 2 / HBr, 5 mTorr, 40/500 W de potencia de la platina / ICP RF con detección de punto final y 80% overetch usando 15/30 sccm Cl 2 / HBr.
  7. Utilice un separador de plasma de oxígeno para eliminar el fotoprotector (1 kW, 400 sccm O 2 con detección de punto final y 2 min overetch). Si la cobertura de metal no está completa (es decir, hay agujeros alrededor de laCNT) utiliza un disolvente orgánico (por ejemplo, NMP) para eliminar la resina fotosensible con el fin de evitar daños en plasma a la CNT.
  8. Limpie las obleas. Puesto les durante 10 min en 99% HNO 3, seguido de un enjuague con agua DI hasta que la resistividad del agua es 5 mO (limpio orgánico). Use un secador de enjuagadora para secar las obleas.

5. Mediciones

  1. Utilice un microscopio electrónico de barrido de acuerdo con las instrucciones del fabricante para comprobar la metalización parte superior de las obleas.
    Nota:. Si es necesario la oblea se puede escindir mecánicamente con el fin de comprobar la completa CNT a través utilizando una inclinación de la muestra de 90 °, lo que resulta en imágenes como se muestra en la Figura 3 ya que las muestras son eléctricamente conductores tienen que ser utilizados sin pasos de tratamiento adicionales y las muestras se pueden montar directamente en el SEM. En general, los voltajes de alta aceleración de 15 kV o 20 se pueden usar, pero si la capa de SiO 2 se está cargando demasiado esto puede ser reducido to 5 kV.
  2. Realizar 4 puntos mediciones sonda IV utilizando una estación de sonda en combinación con un parámetro analizador de semiconductor como se describe en la Figura 1 y en Vollebregt et al. 16.
    Nota: Normalmente un barrido de tensión desde -0,5 a 0,5 V es suficiente, ya que la caída de potencial a través de una interconexión idealmente es pequeña. Mediante el uso de una configuración de sonda de 4 puntos la resistencia de contacto de las agujas de la sonda y las resistencias de alambre de la configuración se omiten.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

El diseño de la estructura de medición utilizado en este trabajo se puede encontrar en la Figura 1. Mediante el empleo de una estructura tal, la medición de la resistencia haz CNT y las resistencias de contacto de metal-CNT puede determinarse con precisión, como sonda de alambre y resistencias se eluden. La resistencia del haz es una medida de la calidad y densidad del haz de CNT. Con el fin de determinar los haces de resistencia de contacto de diferentes longitudes se debe medir.

Una típica imagen SEM de CNT crecido a 350 ° C durante 60 minutos tomada de la parte superior antes de la metalización a 45 ° de inclinación se muestra en la Figura 2. Tal imagen es útil para comprobar si el tiempo de crecimiento de la CNT está configurado correctamente con el fin de obtener la misma longitud que el espesor de la capa de SiO 2. Una sección transversal preparado por escisión mecánica inspeccionado por SEM de la misma oblea después de la metalización se muestra en la Figura 3. Esto puede be utiliza para determinar la alineación de la CNT, su densidad (ser, por ejemplo contando el número de CNT por unidad de longitud), y si una alta resolución SEM se utiliza para determinar su diámetro. También el área de contacto entre la CNT y las capas de metal puede ser investigado.

Espectros Raman de Co crecido-CNT a 350 ° C se muestra en la Figura 4. Espectroscopía Raman es una técnica poderosa para la investigación de la cristalinidad de la CNT 18, y por ejemplo, puede ser utilizado para optimizar los parámetros de crecimiento CNT con el fin de obtener el más alto calidad CNT. Mediciones IV se realizaron con cuatro sondas estructuras de puntos y se muestran en la Figura 5. Cuando el comportamiento IV es lineal indica contacto óhmico entre la CNT y los contactos de metal. A partir de la pendiente de la resistencia eléctrica se puede determinar. A partir de la resistencia y la dimensión de los haces de la resistividad se puede calcular, que para estos paquetes CNT se compara conla literatura en la figura 6.

Figura 1
Figura 1. Diseño de 4 puntos estructura de medición de la sonda utilizada en este trabajo. En la figura de la capa de color amarillo oscuro indica el TiN, los tubos negros de los haces de la CNT, y la capa metálica la pila de Ti y Al. La capa de Ti sacrificial se omite para mayor claridad y el óxido es semi-transparente. Conexiones de la sonda para medidas eléctricas de la sonda de 4 puntos se indican. Haga clic aquí para ver una versión más grande de esta figura.

Figura 2
Figura 2. Top-vista de la imagen SEM de un paquete CNT. Esto muestra un paquete amplia CNT 2 micras crecido en una apertura de los contactos que fue grabado en el interiorel SiO 2. Esta cifra se ha modificado a partir del 16, con permiso de Elsevier. Por favor haga clic aquí para ver una versión más grande de esta figura.

figura 3
Figura 3. Sección transversal SEM de CNT a través. Sección transversal de una prueba de 2 m de ancho y 1 m de largo CNT a través de preparados utilizando escisión mecánica después de la metalización. Esta cifra se ha modificado a partir del 16, con permiso de Elsevier. Por favor haga clic aquí para ver una versión más grande de esta figura.

Figura 4
Figura 4. Espectro Raman de un haz de CNT crecido usando un Co t 350 ° C. Los nombres de las bandas Raman se indican. La curva de negro muestra los datos de medición primas. Para todas las bandas de un accesorio de Lorentz se lleva a cabo (verde discontinua curvas), a excepción de la banda D ', que está equipado con un Gaussian 18. Haga clic aquí para ver una versión más grande de esta figura.

Figura 5
Figura 5. IV mediciones de vías de prueba de la CNT con diferentes diámetros. Los símbolos representan los datos de medición, mientras que la línea continua indica un lineal de mínimos cuadrados de ajuste a los datos de medición. Las resistencias eléctricas de los diferentes vías como se determina a partir de la pendiente de la ajuste lineal se indican. Esta cifra se ha modificado a partir del 16, con permiso de Elsevier.tp_upload / 53260 / 53260fig5large.jpg "target =" _ blank "> Haga clic aquí para ver una versión más grande de esta figura.

Figura 6
Figura 6. Comparación de CNT resistividad paquete con los valores de la literatura. La resistividad se calcula a partir de la resistencia y la vía dimensiones. Se compara con los valores de la literatura, y vias CNT fabricado a diferentes temperaturas utilizando el método descrito en este trabajo. Esta cifra se ha modificado a partir del 16, con permiso de Elsevier. Por favor haga clic aquí para ver una versión más grande de esta figura.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

La figura 1 muestra una visión esquemática de la estructura fabricada en este trabajo, y que se utilizó para las mediciones de la sonda de 4 puntos. A medida que el potencial se mide a través de sondas que llevan ninguna corriente, la caída de potencial exacta (V H -V L) sobre el haz de CNT central y sus contactos a que el metal se puede medir. Mayor diámetro haces de CNT se utilizan para ponerse en contacto con la capa de TiN parte inferior de las almohadillas de contacto, a fin de reducir la resistencia total para las sondas corriente forzante y maximizar la caída de potencial sobre el haz de CNT central.

Como puede verse en la Figura 2, la CNT se cultivaron con éxito dentro de las aberturas grabadas en el SiO 2 con una longitud aproximadamente igual a la profundidad del agujero (1 m). Es crucial que la longitud de la CNT es aproximadamente la misma que la profundidad del agujero, a fin de lograr revestimiento de conformación del contacto de metal superior. Los haces aparecen ONUIFORM, que también ayuda en revestimiento de conformación del metal. La rectitud y la alineación vertical de los tubos se puede ver claramente en la sección transversal mostrada en la Figura 3. Al contar, la densidad del haz de CNT se estimó en alrededor de 5x10 10 tubos / cm 2. Usando microscopía electrónica de transmisión el diámetro medio de los tubos se encontró que era 8 nm, como se ha demostrado en otra parte 16. Debido a las bajas temperaturas de crecimiento de las paredes de la CNT contienen muchos defectos que hacen la determinación del número de paredes difíciles. Los tubos parecen tener un núcleo hueco, aunque se han observado los cruces de bambú. La sección transversal también muestra la capa de TiN parte inferior, y la capa de Ti sacrificial que se elimina en parte por debajo de la SiO 2 durante el grabado húmedo. Si se colocan aberturas que se cierran juntos el tiempo de grabado de la capa de Ti sacrificial puede tener que ser optimizada para minimizar underetch para evitar la delaminación de óxido. Debido a la grabado en seco del agujero, laespaciado entre el 2 y SiO CNT haz es mínima, lo que es esencial para prevenir la deposición catódica Ti y Al formación de cortocircuitos alrededor del haz CNT.

Utilizando los datos Raman la cristalinidad (o calidad) de la CNT se puede investigar. Como las diferentes bandas Raman están cerca el uno-otro deconvolución de las bandas es necesario, como se describe en otro lugar 18. A partir de los datos de Raman en la Figura 4, es evidente que una fuerte banda D y D 'se puede observar, que son causados ​​por dispersión Raman con defectos, mientras que la banda G se relaciona con el enlace CC. Las otras dos bandas Raman son características débiles que están incluidos para el montaje más preciso.

Se sabe que una temperatura baja de crecimiento en los resultados generales en una calidad inferior 18 CNT. Por lo general, la D sobre relación de intensidad G (I D / G) se utiliza para evaluar la calidad de los materiales de grafito, que es 1,1 en la figura 4. Como tiene seres mostrado por, por ejemplo, Ferrari y Robertson 20, la atención se debe tener cuando se utiliza sólo que esta relación de bandas. Con el aumento de la calidad de la CNT, primero la I D / G proporción aumenta, hasta que se alcanza una cierta cantidad de cristalización después de lo cual la proporción disminuye monótonamente. Debido a la temperatura muy bajo crecimiento, la CNT en este trabajo parecen tener una cristalinidad por debajo de este umbral de 16. En estos casos la anchura total a la mitad del máximo de la banda D puede ser utilizado para comparar las muestras de la CNT fabricados en diferentes condiciones de proceso 18. Se puede esperar que la baja calidad de la CNT influirá significativamente el rendimiento eléctrico.

A juzgar por el comportamiento lineal casi completa de las características IV de la figura 5, los contactos entre la CNT y las capas metálicas superior e inferior son óhmico. La resistencia del haz disminuye con el diámetro, que es de esperar ya que más CNT puede llevar a cabo en parallel para paquetes más grandes. El buen contacto entre la CNT y los metales se atribuye al uso de Ti 19, y TiN que es más resistente contra la oxidación 21. Además, se encontró que debido a la falta de cualquier paso de recubrimiento dieléctricas del CNT después del crecimiento (usando, por ejemplo vidrio spin-on), algo que se utiliza a menudo en la literatura en combinación con pulido mecánico químico (CMP) 22,23, la resistencia de contacto a la CNT es baja debido a la incorporación de los consejos de la CNT en el metal superior 24.

Al comparar las resistividades de la CNT haces con la literatura, como se hace en la Figura 6, los resultados se encuentran entre los valores medios en la literatura. Sin embargo, la temperatura de crecimiento utilizado en este trabajo es bajo record. Los resultados de Yokoyama et al. 13 son la resistividad más bajo reportado en la literatura, utilizando sólo una temperatura más alta de crecimiento C 40 °. Sin embargo, el equipo utilizado para la par Codeposición tículo en su trabajo es probable que no escalable para la fabricación de gran volumen. Es evidente que la resistividad disminuye al aumentar la temperatura de crecimiento, lo que puede ser ventajoso para la aplicación permitiendo temperaturas de crecimiento más altas. Al comparar la resistividad de la CNT paquetes con metales de interconexión tradicionales como Cu (1,7 μΩ-cm), es evidente que se requiere una reducción drástica de la resistividad. Mejorar la calidad de la CNT y la densidad de haz, mediante la optimización de las condiciones de crecimiento, será requerida. Esto tiene que ser hecho sin aumentar la temperatura de crecimiento, con el fin de permitir la integración con materiales de bajo kappa modernas y sustratos flexibles.

Así, hemos demostrado una técnica para la integración de crecimiento CNT a baja temperatura y la integración en la fabricación de semiconductores estándar. Esta técnica se ha utilizado para fabricar CNT a través de estructuras de prueba y se ha aplicado recientemente para la fabricación de CNT supercondensadores 25 </ sup>.

Subscription Required. Please recommend JoVE to your librarian.

Materials

Name Company Catalog Number Comments
Si (100) wafer 4" International Wafer Service Resisitivity: 2-5 mΩ-cm, thickness: 525 µm 
Ti-sputter target (99.995% purity) Praxair
Al (1% Si)-sputter target (99.999% purity) Praxair
Co (99.95% purity) Kurt J. Lesker
SPR3012 positive photoresist Dow Electronic Materials
MF-322 developer Dow Electronic Materials
HNO3 (99.9%) KMG Ultra Pure Chemicals
HNO3 (69.5%) KMG Ultra Pure Chemicals
HF 0.55% Honeywell
Tetrahydrofuran JT Baker
Acetone Sigma-Aldrich
ECI3027 positive photoresist AZ
Tetraethyl orthosilicate (TEOS) Praxair
N2 (99.9990%) Praxair
O2 (99.9999%) Praxair
CF4 (99.9970%) Praxair
Cl2 (99.9900%) Praxair
HBr (99.9950%) Praxair
Ar (99.9990%) Praxair
C2F6 (99.9990%) Praxair
CHF3 (99.9950%) Praxair
H2 (99.9950%) Praxair
C2H2 (99.6000%) Praxair
EVG 120 coater/developer EVG
ASML PAS5500/80 waferstepper ASML
SPTS Ωmega 201 plasma etcher SPTS Used for Si and metal etching
SPTS Σigma sputter coater SPTS
Novellus Concept One PECVD LAM
Drytek 384T plasma etcher LAM Used for oxide etching
CHA Solution e-beam evaporator CHA
AIXTRON BlackMagic Pro CVD tool AIXTRON Carbon nanotube growth
Philips XL50 scanning electron microscope FEI
Tepla 300 PVA TePla Resist plasma stripper
Avenger rinser dryer Microporcess Technologies
Leitz MPV-SP reflecometer Leitz
Renishaw inVia Raman spectroscope Renishaw
Agilent 4156C parameter spectrum analyzer Agilent
Cascade Microtech probe station Cascade Microtech

DOWNLOAD MATERIALS LIST

References

  1. International Technology Roadmap for Semiconductors. , Available from: http://public.itrs.net (2013).
  2. Sun, S. C. Process technologies for advanced metallization and interconnect systems. Technical digest of the IEEE International Electron Devices Meeting. , 765-768 (1997).
  3. Robertson, J. Growth of nanotubes for electronics. Mater. Today. 10 (1-2), 36-43 (2007).
  4. Wei, B. Q., Vajtai, R., Ajayan, P. M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79 (8), 1172-1174 (2001).
  5. Rossnagel, S. M., Wisnieff, R., Edelstein, D., Kuan, T. S. Interconnect issues post 45nm. Technical digest of the IEEE International Electron Devices Meeting. , 89-91 (2005).
  6. Pop, E., Mann, D., Wang, Q., Goodson, K., Dai, H. Thermal Conductance of an Individual Single-Wall Carbon Nanotube above Room Temperature. Nano Lett. 6 (1), 96-100 (2006).
  7. Chiodarelli, N., et al. Measuring the electrical resistivity and contact resistance of vertical carbon nanotube bundles for application as interconnects. Nanotechnology. 22 (8), 085302 (2011).
  8. Choi, Y. -M., et al. Integration and Electrical Properties of Carbon Nanotube Array for Interconnect Applications. Proceedings of the Sixth IEEE Conference on Nanotechnology. , 262-265 (2006).
  9. Dijon, J., et al. Ultra-high density Carbon Nanotubes on Al-Cu for advanced Vias. Technical digest of the IEEE International Electron Devices Meeting. , 33-34 (2010).
  10. Kreupl, F., et al. Carbon nanotubes in interconnect applications. Microelectron. Eng. 64 (1-4), 399-408 (2002).
  11. Vereecke, B., et al. Characterization of carbon nanotube based vertical interconnects. Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials. , 648-649 (2012).
  12. Vollebregt, S., Ishihara, R., Derakhshandeh, J., vander Cingel, J., Schellevis, H., Beenakker, C. I. M. Integrating low temperature aligned carbon nanotubes as vertical interconnects in Si technology. Proceedings of the 11th IEEE Conference on Nanotechnology. , 985-990 (2011).
  13. Yokoyama, D., et al. Electrical Properties of Carbon Nanotubes Grown at a Low Temperature for Use as Interconnects. Jpn J. App. Phys. 47 (4), 1985-1990 (2008).
  14. Van der Veen, M. H., et al. Electrical Improvement of CNT Contacts with Cu Damascene Top Metallization. Proceedings of the IEEE International Interconnect Technology Conference. , 193-195 (2013).
  15. Istratov, A. A., Hieslmair, H., Weber, E. R. Iron contamination in silicon technology. Appl. Phys. A. 70, 489-534 (2000).
  16. Vollebregt, S., Tichelaar, F. D., Schellevis, H., Beenakker, C. I. M., Ishihara, R. Carbon nanotube vertical interconnects fabricated at temperatures as low as 350 °C. 71, 249-256 (2014).
  17. Kikkawa, T., Inoue, K., Imai, K. Cobalt silicide technology. Silicide Technology for Integrated Circuits. , The Institution of Engineering and Technology. 77-94 (2004).
  18. Vollebregt, S., Ishihara, R., Tichelaar, F. D., Hou, Y., Beenakker, C. I. M. Influence of the growth temperature on the first and second-order Raman band ratios and widths of carbon nanotubes and fibers. Carbon. 50 (10), 3542-3554 (2012).
  19. Lim, S. C., et al. Contact resistance between metal and carbon nanotube interconnects: Effect of work function and wettability. Appl. Phys. Lett. 95 (26), 264103 (2009).
  20. Ferrari, A. C., Robertson, J. Interpretation of Raman spectra of disordered and amorphous carbon. Phys. Rev. B. 61 (20), 14095-14107 (2000).
  21. Awano, Y., et al. Carbon nanotube via interconnect technologies: size-classified catalyst nanoparticles and low-resistance ohmic contact formation. Phys. Status Solidi (a). 203 (14), 3611-3616 (2006).
  22. Van der Veen, M. H., et al. Electrical characterization of CNT contacts with Cu Damascene top contact. Microelectron. Eng. 106, 106-111 (2012).
  23. Horibe, M., Nihei, M., Kondo, D., Kawabata, A., Awano, Y. Mechanical Polishing Technique for Carbon Nanotube Interconnects in ULSIs. Jpn J. App. Phys. 43 (9A), 6499-6502 (2004).
  24. Vollebregt, S., Chiaramonti, A. N., Ishihara, R., Schellevis, H., Beenakker, C. I. M. Contact resistance of low-temperature carbon nanotube vertical interconnects. Proceedings of the 12th IEEE Conference on Nanotechnology. , 424-428 (2012).
  25. Fiorentino, G., Vollebregt, S., Tichelaar, F. D., Ishihara, R., Sarro, P. M. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances. Nanotechnology. 26 (6), 064002 (2015).

Tags

Ingeniería Número 106 los nanotubos de carbono deposición de vapor químico interconexiones fabricación de semiconductores catalizador circuitos integrados microscopía electrónica de barrido espectroscopia Raman caracterización eléctrica
Fabricación de Baja Temperatura de nanotubos de carbono Interconexiones verticales Compatible con la tecnología de semiconductores
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Vollebregt, S., Ishihara, R.More

Vollebregt, S., Ishihara, R. Fabrication of Low Temperature Carbon Nanotube Vertical Interconnects Compatible with Semiconductor Technology. J. Vis. Exp. (106), e53260, doi:10.3791/53260 (2015).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter