Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove
Click here for the English version

Engineering

Fabricage van Low Temperature koolstof nanobuis Verticale Verbindingen Compatibel met halfgeleidertechnologie

Published: December 7, 2015 doi: 10.3791/53260

Introduction

Koper en wolfraam, de metalen die momenteel worden gebruikt voor de verbindingen in stand van de techniek zeer grote schaal integratie (VLSI) technologie, naderen hun fysieke beperkingen wat betreft betrouwbaarheid en elektrische geleidbaarheid 1. Terwijl down-scaling transistors verbetert het algemeen hun prestaties, het eigenlijk verhoogt de weerstand en de huidige dichtheid van de interconnects. Dit resulteerde in interconnects domineert de geïntegreerde schakeling (IC) prestaties in termen van vertraging en het stroomverbruik 2.

Koolstofnanobuisjes (CNT) zijn voorgesteld als alternatief voor Cu en W metallisatie, vooral voor verticale verbindingen (vias) als CNT gemakkelijk verticaal 3 kunnen geteeld. CNT bleken uitstekende elektrische betrouwbaarheid, waardoor een tot 1000 keer hoger dan de stroomdichtheid Cu 4. Bovendien hebben CNT geen last van oppervlak en korrelgrens verstrooiing, die toeneemt resistivity van Cu op nanometerschaal 5. Tenslotte zijn CNT is aangetoond dat uitstekende thermische geleiders 6, die kan helpen bij de warmtehuishouding in VLSI chips.

Voor succesvolle integratie van CNT in VLSI-technologie is het belangrijk dat de groeiprocessen voor de CNT geschikt voor halfgeleiders wordt gemaakt. Dit vereist de lage temperatuur groei van CNT (<400 ° C) met behulp van materialen en apparatuur die compatibel en schaalbaar naar grootschalige productie worden beschouwd. Hoewel vele voorbeelden van CNT proef via's zijn aangetoond in de literatuur 7,8,9,10,11,12,13,14 meeste van deze gebruiken Fe als katalysator die wordt beschouwd als een verontreiniging in IC 15 vervaardigen. Trouwens, de groeitemperatuur in veel van deze werken is veel hoger dan de bovengrens van 400 ° C. Bij voorkeur CNT moet zelfs onder 350 ° C worden gekweekt, om de integratie moderne low-κ diëlektrische of flexibele toestaansubstraten.

Hier presenteren we een schaalbare methode voor het kweken CNT bij temperaturen tot 350 ° C gebruikt als co-katalysator 16. Deze methode is van belang voor het vervaardigen van verschillende elektrische structuren bestaande uit verticaal uitgelijnde CNT in geïntegreerde circuits, variërend van interconnect en elektroden super condensatoren en veldemissie apparaten. De co-katalysator metaal wordt vaak gebruikt in IC fabricage voor de fabricage van het silicide 17, terwijl TiN is een vaak gebruikte barrièremateriaal 7. Verder tonen we een werkwijze voor het vervaardigen CNT proef vias terwijl slechts het gebruik van standaard technieken halfgeleiders. Hierbij worden CNT testen vias vervaardigd, gecontroleerd door scanning elektronenmicroscopie (SEM) en Raman spectroscopie, en elektrisch gekarakteriseerde.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

Let op: Gelieve alle relevante veiligheidsinformatiebladen (VIB) te raadplegen voor gebruik. Een aantal van de chemicaliën die bij dit fabricageproces acuut giftig en carcinogeen. Nanomaterialen kunnen extra risico's hebben in vergelijking met hun bulk tegenhanger. Gelieve alle nodige veiligheidsvoorschriften bij het werken met apparatuur, chemicaliën of nanomaterialen, waaronder het gebruik van technische controles (zuurkast) en persoonlijke beschermingsmiddelen (veiligheidsbril, handschoenen, cleanroom kleding).

1. Alignment Marker Definitie voor Lithography

  1. Begin met enkelzijdig gepolijst industriële kwaliteit Si (100) wafers met ofwel n of p-type doping.
  2. De vacht van de wafer met 1,4 micrometer positieve fotoresist. Voer een 90 sec hexamethyldisilazaan (HMDS) -behandeling bij 130 ° C om de hechting van de fotoresist, gevolgd door afkoelen van de wafer op een koude plaat, spin-coating op de juiste snelheid (3500 rpm) te bevorderen, en een 90 sec soft bake eent 95 ° C.
  3. Met behulp van een foto-lithografie masker en blootstelling gereedschap de uitlijning markers bloot stralingsdosis 120 mJ / cm 2.
  4. Voer een enkele plas ontwikkelingsproces. Voer een 90 sec 115 ° C bakken na belichting, gevolgd door 60 sec ontwikkeling met behulp ontwikkelaar en 90 sec harde bakken bij 100 ° C uitharden de resist.
  5. Gebruik een microscoop te controleren of de openingen in het weerstaan ​​van de juiste afmetingen.
  6. Etsen 120 nm Si met chloor plasma etsen. Deze dikte geeft een goed contrast voor de automatische uitlijning systemen van de blootstelling instrument dat wordt gebruikt in dit werk. Bijvoorbeeld met behulp van een inductief gekoppelde plasma (ICP): 20/40 SCCM O 2 / CF 4, 5 mTorr, 60/500 W plaat / ICP RF-vermogen, 10 sec oxide doorbraak etsen, gevolgd door 80/40 sccm Cl 2 / HBr , 60 mTorr, 20/500 W glasplaat / ICP RF-vermogen, 35 sec Si etsen.
  7. Gebruik een zuurstofplasma stripper aan de fotolak (1 kW, 400 SCCM O 2 met endpo verwijderenint detectie en 2 min overetch). Aangezien de fotoresist wordt uitgehard door het plasma normale oplosmiddel zoals aceton kan niet worden gebruikt.
  8. Reinigen van de wafers. Ze eerst gedurende 10 minuten in 99% HNO 3, gevolgd door spoelen in DI water tot de weerstand van het water 5 MQ (biologisch zuiver). Hierna schone de wafels gedurende 10 minuten in 65% HNO 3 bij 110 ° C, gevolgd door spoelen met gedeïoniseerd water tot de weerstand van het water 5 MQ (metaal clean). Gebruik een spoelinrichting droger de wafers droog.

2. Bottom Metaal en tussenlaag diëlektrische Deposition

  1. Gebruik magnetron sputtering de onderste metaallaag van de test via deponeren. Een stapel van drie metaallagen te worden afgezet: 500 nm van Ti, TiN van 50 nm en 100 nm Ti. De eerste Ti laag is om de weerstand van de stapel te verminderen, de TiN is de eigenlijke dragerlaag van CNT groei en de bovenste Ti is de TiN tegen beschadiging te beschermen wanneer plasma etsen van de SiO2-laag 12 N2, opnieuw bij 350 ° C substraattemperatuur.
  2. Met behulp van plasma-enhanced chemical vapor deposition (PECVD), stort een 1 micrometer dikke laag SiO 2. Hier tetraethylorthosilicaat (TEOS) wordt gebruikt als precursor bij een persplaattemperatuur van 350 ° C.
    1. Controleer de dikte van de SiO2-laag met geschikt gereedschap, bijvoorbeeld een reflectometer of ellipsometer.
  3. Coat de wafer met 1,4 urn positieve fotoresist, te beginnen met 90 sec HMDS behandeling bij 130 ° C, gevolgd door afkoelen van de wafer op een koude plaat, spin-coating op de juiste snelheid (3500 rpm) en een 90 sec zachte bakken bij 95 ° C.
  4. Met behulp van een foto-lithografie masker en blootstelling gereedschap, bloot het gewenste patroon van openingen die later zal worden geëtst in het SiO 2 to vormen de vias, afgestemd op de uitlijning markers, stralingsdosis 140 mJ / cm 2.
  5. Voer een plas ontwikkelingsproces beginnen met 90 sec 115 ° C bakken na belichting, gevolgd door 60 sec ontwikkeling met behulp ontwikkelaar en 90 sec harde bakken bij 100 ° C.
  6. Gebruik een microscoop te controleren of de openingen in het weerstaan ​​van de juiste afmetingen en als de overlay de centreermarkeringen correct is.
  7. Plasma etsen contactopeningen in het SiO 2. Gebruik bijvoorbeeld een triode plasma-etser met C 2 F 6 / CHF 3 36/144 sccm bij 180 mTorr en 300 W RF-vermogen. Voer eventueel etssnelheid testen op een test wafer teneinde te minimaliseren dan etsen met 5% -10% in de tijd.
    Opmerking: hoewel de Ti is tegen reactief etsen in deze fluorchemie, zal langdurige blootstelling aan het plasma leidt tot fysieke etsen van de Ti-laag. Als de tinlaag wordt blootgesteld aan het plasma zal dit een negatieve influen hebbence op de groei van de CNT 12. Geen natte etsing gebruiken omdat dit resulteert in te veel verbreding van de openingen, waardoor de bovenste metalliseren deel 4 problematisch.
  8. Verwijder de opofferende Ti laag door nat etsen in 0,55% HF gedurende 60 sec. Na etsen spoel de wafers met DI-water tot het water weerstand is 5 MQ en gebruik een rinser droger aan de wafers drogen.
    Opmerking: Met behulp van een microscoop kan worden gecontroleerd of de Ti-laag is geëtst, wordt de tinlaag een goudbruine kleur terwijl het Ti metallisch grijs.

3. Katalysator Afzetting en CNT Groei

  1. Verdampen 5 nm van Co met behulp van een e-beam verdamper. Pump beneden tot tenminste 2x10 -6 Torr en verwarm de wafers tot 60 ° C met behulp lichten onder vacuüm voordat deponeren één waterfilm verwijderen. De fotoresist gebruikt om de contactopeningen definiëren wordt gehouden op de wafer zelf-uitlijning van de katalysator aan het contact openingen in het SiO 2.
  2. Verwijderende Co buiten het contact openingen door lift-off. Voor Co bleek dat tetrahydrofuran (THF) geeft de best lift-off resultaten en groei bij lage temperaturen. N-methyl-2-pyrrolidon (NMP), die eerder voor lift-off Fe na afdampen werd gevonden om schade de Co ook zodanig één lijn CNT groei te voorkomen. Zet de wafer gedurende 15 minuten in een ultrasoon bad met THF bij 35 ° C. Spoelen met DI water gedurende 5 minuten en droog met een spinner of stikstof pistool.
  3. Inspecteer de wafer onder een microscoop en controleer weerstaan ​​residuen. Als resten blijven uitvoeren van een langere ultrasone behandeling in THF, en eventueel gebruik maken van een speciale zachte wattenstaafje voor lift-off doeleinden handmatig weg resten te vegen.
  4. Voeren CNT groei met lage druk chemical vapor deposition (LPCVD). Met het volgende recept: 8 min pre-gloeien bij 350 ° C met 700 sccm H2 bij 80 mbar, gevolgd door CNT groei door toevoeging van 50 sccm C 2 H 2. Bij 350 ° C, 60min van de groei geeft ongeveer 1 micrometer van CNT. Eventueel een test groei afstemmen van de hoogte, die dezelfde dikte als de SiO 2 laag moet zijn. Afkoelen van de reactor en zuiveren met behulp van N2.
  5. Gebruik maken van een scanning elektronenmicroscoop om de hoogte van de CNT te controleren in de openingen onder de 45 ° kantelen, of door het opstellen van een doorsnede.
  6. Inspecteer de monsters met behulp van Raman-spectroscopie de kristalliniteit van de CNT 18 bepalen.

4. Bovenbil Metalliseren

  1. Gebruik magnetronsputteren naar de top metal deponeren. Als Ti is een goede metal om contact CNT 19, eerste sputteren 100 nm Ti, gevolgd door 2 urn Al (1% Si), zonder het vacuüm te verbreken.
  2. Coat de wafer met 3,1 urn positieve fotoresist met een hogere viscositeit, te beginnen met 90 sec HMDS behandeling bij 130 ° C, gevolgd door afkoelen van de wafer op een koude plaat, spin-coating bij 3000 rpm, en een 90 sec zacht bakken bij 95° C.
  3. Met behulp van een foto-lithografie masker en blootstelling instrument bloot de top metalen patroon afgestemd op de uitlijning markers, bestralingsdosis 420 mJ / cm 2, focus van -1.
  4. Voer een enkele plas ontwikkelingsproces. Dit begint met een 90 sec 115 ° C bakken na belichting, gevolgd door 60 sec ontwikkeling met behulp ontwikkelaar en 90 sec harde bakken bij 100 ° C.
  5. Gebruik een microscoop te inspecteren als de lijnen in het weerstaan ​​van de juiste afmetingen en als de overlay de markeringen correct is.
  6. Etsen van de Ti / Al stack met chloor plasma etsen. Bijvoorbeeld met behulp van een inductief gekoppeld plasma: 30/40 SCCM Cl 2 / HBr, 5 mTorr, 40/500 W Platen / ICP RF-vermogen met eindpunt detectie en 80% overetch met 15/30 SCCM Cl 2 / HBr.
  7. Gebruik een zuurstofplasma stripper aan de fotolak (1 kW, 400 SCCM O 2 met eindpunt detectie en 2 min overetch) te verwijderen. Als de metalen niet volledig is (dat wil zeggen, er gaatjes rondom deCNT) gebruikt een organisch oplosmiddel (bijvoorbeeld NMP) om de fotoresist om plasma beschadiging van de CNT voorkomen te verwijderen.
  8. Reinigen van de wafers. Zet ze gedurende 10 minuten in 99% HNO 3, gevolgd door spoelen met DI-water tot aan de weerstand van het water is 5 MQ (biologisch schoon). Gebruik een spoelinrichting droger de wafers droog.

5. Metingen

  1. Gebruik een scanning elektronenmicroscoop volgens de instructies van de fabrikant naar de top metallisatie van de wafers controleren.
    Opmerking:. Indien nodig kan de wafer mechanisch kan worden gesplitst om de volledige CNT controleren via het gebruiken van een monster kanteling van 90 °, wat resulteert in beelden zoals getoond in figuur 3 als de monsters elektrisch geleidend geen extra behandeling stappen worden gebruikt en de monsters kan direct worden aangebracht in de SEM. In het algemeen kan een hoge versnelling voltages van 15 en 20 kV worden gebruikt, maar als de SiO 2 laag wordt opgeladen te veel kan worden verlaagd to 5 kV.
  2. Voer 4-sonde IV meting met een probe station in combinatie met een halfgeleider parameter analyzer zoals beschreven in figuur 1 en in Vollebregt et al. 16.
    Opmerking: Normaal gezien wordt een voltage sweep van -0,5 tot 0,5 V is voldoende, omdat de potentiële daling over een interconnect ideaal is klein. Door een 4-sonde opstelling de contactweerstand van de sonde naalden en de draad weerstanden van de installatie weggelaten.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

Het ontwerp van de meting constructie die in dit werk zijn te vinden in figuur 1. Door toepassing van een dergelijke structuur het meten van de CNT bundel weerstand en de metaal-CNT overgangsweerstanden nauwkeurig kan worden bepaald, als probe en draad weerstanden worden omzeild. De weerstand van de bundel is een maat voor de kwaliteit en dichtheid van de CNT bundel. Om de contactweerstand bundels van verschillende lengte te bepalen worden gemeten.

Een typische SEM afbeelding van CNT gegroeid bij 350 ° C gedurende 60 min genomen vanaf de top vóór metallisatie bij 45 ° tilt is getoond in figuur 2. Een dergelijk beeld is nuttig om te controleren of de groeitijd van de CNT correct is ingesteld teneinde verkrijgen van dezelfde lengte als de dikte van de SiO2-laag. Een dwarsdoorsnede voorbehandeld splitsen geïnspecteerd door SEM van dezelfde wafer na metallisatie wordt getoond in figuur 3. Dit kan be gebruikt om de uitlijning van de CNT bepalen de dichtheid (bijvoorbeeld te tellen van het aantal CNT per lengte-eenheid), en als een hoge resolutie SEM wordt gebruikt om hun diameter te bepalen. Ook het contact tussen de CNT en de metalen lagen kunnen worden onderzocht.

Raman spectra van co-gekweekt CNT bij 350 ° C wordt weergegeven in figuur 4. Raman spectroscopie is een krachtige techniek voor het onderzoeken van de kristalliniteit van de CNT 18 en kan bijvoorbeeld worden gebruikt om de CNT groeiparameters optimaliseren om de hoogste te verwerven kwaliteit CNT. IV metingen werden uitgevoerd met vier sonde structuren en worden weergegeven in figuur 5. Wanneer de IV lineair gedrag duidt ohms contact tussen de CNT en de metaalcontacten. Uit de helling van de elektrische weerstand te bepalen. Uit de weerstand en de afmeting van de bundels de weerstand kan worden berekend, waarbij deze bundels CNT wordt vergelekende literatuur in figuur 6.

Figuur 1
Figuur 1. Ontwerp van 4-sonde metingen constructie die in dit werk. In de figuur geeft de donkergele de TiN-laag, de zwarte buizen CNT bundels en de metaallaag de Ti en Al stack. De opofferende Ti laag wordt weggelaten voor de duidelijkheid en het oxide semi-transparent. Sonde aansluitingen voor elektrische 4-sonde metingen worden aangegeven. Klik hier om een grotere versie van deze figuur te bekijken.

Figuur 2
Figuur 2. Top-view SEM beeld van een CNT bundel. Dit toont een 2 micrometer breed CNT bundel gekweekt in een contact opening die binnenkant was geëtsthet SiO 2. Dit cijfer is aangepast van 16, met toestemming van Elsevier. Klik hier om een grotere versie van deze figuur te bekijken.

Figuur 3
Figuur 3. SEM dwarsdoorsnede van CNT via. Doorsnede van een 2 um breed en 1 urn lang CNT testen via bereid mechanisch klieven na metallisatie. Dit cijfer is aangepast van 16, met toestemming van Elsevier. Klik hier om een grotere versie van deze figuur te bekijken.

Figuur 4
Figuur 4. Raman spectrum van een CNT bundel gekweekt met behulp van een Co t 350 ° C. De namen van de Raman-banden zijn aangegeven. De zwarte curve geeft de ruwe meetgegevens. Voor alle banden een Lorentz fitting wordt uitgevoerd (groene gestippelde lijnen), met uitzondering van de D 'band die is uitgerust met een Gauss 18. Klik hier om een grotere versie van deze figuur te bekijken.

Figuur 5
Figuur 5. IV metingen van CNT proef via's met verschillende diameters. De symbolen geven de meetgegevens, terwijl de doorgetrokken lijn geeft een lineaire kleinste kwadraten om de meetgegevens. De elektrische weerstanden van de verschillende doorgangen zoals bepaald uit de helling van de lineaire fitting aangegeven. Dit cijfer is aangepast van 16, met toestemming van Elsevier.tp_upload / 53.260 / 53260fig5large.jpg "target =" _ blank "> Klik hier om een ​​grotere versie van deze figuur te bekijken.

Figuur 6
Figuur 6. Vergelijking van CNT bundel weerstand met waarden uit de literatuur. De soortelijke weerstand wordt berekend uit de weerstand en via dimensies. Het wordt vergeleken met waarden uit de literatuur, en CNT vias gefabriceerd bij verschillende temperaturen met de in dit werk beschreven methode. Dit cijfer is aangepast van 16, met toestemming van Elsevier. Klik hier om een grotere versie van deze figuur te bekijken.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

Figuur 1 toont een schematisch overzicht van de structuur opgebouwd in dit werk, en dat werd gebruikt voor de 4-sonde metingen. Aangezien de potentiaal is gemeten met probes dragen geen stroom, kan de exacte potentiaaldaling (VH-VL) via centrale CNT bundel en de contactpunten aan het metaal te meten. Grotere diameter CNT bundels worden gebruikt om contact met de onderste tinlaag van de contactvlakken, zodat de totale weerstand voor de huidige forceren probes verminderen en het maximaliseren van de potentiaaldaling over de centrale CNT bundel.

Zoals blijkt uit figuur 2, zijn de CNT succes gekweekt in de openingen geëtst in het SiO 2 met een lengte ongeveer gelijk aan de diepte van het gat (1 pm). Het is cruciaal dat de lengte van de CNT is ongeveer gelijk aan de diepte van het gat, zodat conforme bekleding van het bovenste metaalcontact bereiken. De bundels verschijnen uniForm, die ook helpt bij conforme bekleding van het metaal. De rechtheid en verticale uitlijning van de pijpen goed te zien in de doorsnede weergegeven in figuur 3. Door tellen, de dichtheid van de CNT bundel geschat op ongeveer 5x10 10 tubes / cm 2. Met behulp van transmissie-elektronenmicroscopie van de gemiddelde diameter van de buizen bleek 8 nm, zoals elders 16 is getoond. Vanwege de lage groeitemperatuur CNT wanden bevatten veel fouten maken het bepalen van het aantal wanden moeilijk. De buizen blijken een holle kern, hoewel bamboe kruisingen waargenomen. De doorsnede toont ook de onderste TiN-laag en de Ti opofferende laag die gedeeltelijk verwijderd onder de SiO 2 tijdens het natte etsen. Indien openingen samen de etstijd van de opofferende Ti-laag worden geplaatst dicht mogelijk moeten worden geoptimaliseerd om underetch minimaliseren oxide delaminatie te voorkomen. Door het droog etsen van het gat, hetafstand tussen de SiO 2 en CNT bundel minimaal, wat essentieel te voorkomen dat de verstoven Ti en Al vorming van kortsluiting rondom de CNT bundel.

De Raman data de kristalliniteit (of kwaliteit) van de CNT worden onderzocht. Aangezien de verschillende Ramanbanden dicht bij elkaar-deconvolutie van de band noodzakelijk, zoals elders 18 beschreven. Uit de Raman gegevens in Figuur 4 is het duidelijk dat een sterke D en D 'band waar te nemen, die worden veroorzaakt door Raman verstrooiing met defecten, terwijl de G band is gerelateerd aan de CC binding. De andere twee banden zwak Raman kenmerken die zijn opgenomen om meer accurate aanpassing.

Het is bekend dat een lage groeitemperatuur in het algemeen resulteert in een lagere kwaliteit CNT 18. Gewoonlijk D in G intensiteitverhouding (I D / G) wordt gebruikt om de kwaliteit van grafitische materialen, die 1,1 in figuur 4 te bepalen. Zoals teen getoond door bijvoorbeeld Ferrari en Robertson 20, zorg moet worden genomen bij het ​​gebruik van alleen deze band ratio. Bij toenemende kwaliteit van de CNT, de eerste I D / G-verhouding toeneemt, totdat een bepaalde hoeveelheid kristallisatie bereikt, waarna de verhouding monotoon afneemt. Vanwege de zeer lage groeitemperatuur, de CNT in dit werk lijken een kristalliniteit hebben onder deze drempel 16. In deze gevallen wordt de volledige breedte op halve hoogte van de D band kan worden gebruikt om CNT monsters vervaardigd bij verschillende procesomstandigheden 18 vergelijken. Verwacht kan worden dat de lage kwaliteit van de CNT aanzienlijk zal beïnvloeden de elektrische prestaties.

Afgaande op de bijna volledig lineair gedrag van de IV kenmerken in figuur 5, de contacten tussen de CNT en de bovenste en onderste metalen lagen ohmse. De weerstand van de bundel afneemt met een diameter die is te verwachten omdat meer CNT kunnen voeren in parallel voor grotere bundels. De goed contact tussen de CNT en de metalen wordt toegeschreven aan het gebruik van Ti 19 en TiN wat beter bestand tegen oxidatie 21. Bovendien vonden we dat vanwege het ontbreken van een diëlektrische bedekking stappen van de CNT na groei (bijvoorbeeld met behulp van spin op glas), hetgeen vaak wordt gebruikt in de literatuur in combinatie met chemisch mechanisch polijsten (CMP) 22,23, het contact weerstand tegen de CNT is laag door het inbedden van de CNT tips in de top 24 metalen.

Bij vergelijking van de weerstanden van de CNT bundels literatuur, zoals in figuur 6, zijn de resultaten van de gemiddelde waarden in de literatuur. Echter, de groei van de temperatuur gebruikt in dit werk is historisch laag. De resultaten van Yokoyama et al. 13 zijn de laagste weerstand in de literatuur, met alleen een 40 ° C hogere groeitemperatuur. Echter, de apparatuur die wordt gebruikt voor Co parkel afzetting in hun werk is waarschijnlijk niet schaalbaar tot groot volume productie. Duidelijk is dat de weerstand afneemt met toenemende groei temperatuur, wat voordelig is voor toepassing waardoor hogere groeitemperaturen kan zijn. Bij vergelijking van de weerstand van de CNT bundels met traditionele interconnect metalen zoals Cu (1,7 μΩ-cm) blijkt dat een drastische vermindering van de weerstand is vereist. Verbetering van de kwaliteit van de CNT en de bundel dichtheid, door het optimaliseren van de groeiomstandigheden vereist. Dit moet gebeuren zonder verhoging van de groeitemperatuur, om de integratie moderne low-κ materialen en flexibele substraten mogelijk maken.

We hebben daarmee aangetoond dat een techniek voor de integratie van lage temperatuur CNT groei en integratie in standaard halfgeleider fabricage. Deze techniek is gebruikt om CNT te fabriceren via teststrukturen en is recentelijk toegepast bij de vervaardiging van CNT supercondensatoren 25 </ sup>.

Subscription Required. Please recommend JoVE to your librarian.

Materials

Name Company Catalog Number Comments
Si (100) wafer 4" International Wafer Service Resisitivity: 2-5 mΩ-cm, thickness: 525 µm 
Ti-sputter target (99.995% purity) Praxair
Al (1% Si)-sputter target (99.999% purity) Praxair
Co (99.95% purity) Kurt J. Lesker
SPR3012 positive photoresist Dow Electronic Materials
MF-322 developer Dow Electronic Materials
HNO3 (99.9%) KMG Ultra Pure Chemicals
HNO3 (69.5%) KMG Ultra Pure Chemicals
HF 0.55% Honeywell
Tetrahydrofuran JT Baker
Acetone Sigma-Aldrich
ECI3027 positive photoresist AZ
Tetraethyl orthosilicate (TEOS) Praxair
N2 (99.9990%) Praxair
O2 (99.9999%) Praxair
CF4 (99.9970%) Praxair
Cl2 (99.9900%) Praxair
HBr (99.9950%) Praxair
Ar (99.9990%) Praxair
C2F6 (99.9990%) Praxair
CHF3 (99.9950%) Praxair
H2 (99.9950%) Praxair
C2H2 (99.6000%) Praxair
EVG 120 coater/developer EVG
ASML PAS5500/80 waferstepper ASML
SPTS Ωmega 201 plasma etcher SPTS Used for Si and metal etching
SPTS Σigma sputter coater SPTS
Novellus Concept One PECVD LAM
Drytek 384T plasma etcher LAM Used for oxide etching
CHA Solution e-beam evaporator CHA
AIXTRON BlackMagic Pro CVD tool AIXTRON Carbon nanotube growth
Philips XL50 scanning electron microscope FEI
Tepla 300 PVA TePla Resist plasma stripper
Avenger rinser dryer Microporcess Technologies
Leitz MPV-SP reflecometer Leitz
Renishaw inVia Raman spectroscope Renishaw
Agilent 4156C parameter spectrum analyzer Agilent
Cascade Microtech probe station Cascade Microtech

DOWNLOAD MATERIALS LIST

References

  1. International Technology Roadmap for Semiconductors. , Available from: http://public.itrs.net (2013).
  2. Sun, S. C. Process technologies for advanced metallization and interconnect systems. Technical digest of the IEEE International Electron Devices Meeting. , 765-768 (1997).
  3. Robertson, J. Growth of nanotubes for electronics. Mater. Today. 10 (1-2), 36-43 (2007).
  4. Wei, B. Q., Vajtai, R., Ajayan, P. M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79 (8), 1172-1174 (2001).
  5. Rossnagel, S. M., Wisnieff, R., Edelstein, D., Kuan, T. S. Interconnect issues post 45nm. Technical digest of the IEEE International Electron Devices Meeting. , 89-91 (2005).
  6. Pop, E., Mann, D., Wang, Q., Goodson, K., Dai, H. Thermal Conductance of an Individual Single-Wall Carbon Nanotube above Room Temperature. Nano Lett. 6 (1), 96-100 (2006).
  7. Chiodarelli, N., et al. Measuring the electrical resistivity and contact resistance of vertical carbon nanotube bundles for application as interconnects. Nanotechnology. 22 (8), 085302 (2011).
  8. Choi, Y. -M., et al. Integration and Electrical Properties of Carbon Nanotube Array for Interconnect Applications. Proceedings of the Sixth IEEE Conference on Nanotechnology. , 262-265 (2006).
  9. Dijon, J., et al. Ultra-high density Carbon Nanotubes on Al-Cu for advanced Vias. Technical digest of the IEEE International Electron Devices Meeting. , 33-34 (2010).
  10. Kreupl, F., et al. Carbon nanotubes in interconnect applications. Microelectron. Eng. 64 (1-4), 399-408 (2002).
  11. Vereecke, B., et al. Characterization of carbon nanotube based vertical interconnects. Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials. , 648-649 (2012).
  12. Vollebregt, S., Ishihara, R., Derakhshandeh, J., vander Cingel, J., Schellevis, H., Beenakker, C. I. M. Integrating low temperature aligned carbon nanotubes as vertical interconnects in Si technology. Proceedings of the 11th IEEE Conference on Nanotechnology. , 985-990 (2011).
  13. Yokoyama, D., et al. Electrical Properties of Carbon Nanotubes Grown at a Low Temperature for Use as Interconnects. Jpn J. App. Phys. 47 (4), 1985-1990 (2008).
  14. Van der Veen, M. H., et al. Electrical Improvement of CNT Contacts with Cu Damascene Top Metallization. Proceedings of the IEEE International Interconnect Technology Conference. , 193-195 (2013).
  15. Istratov, A. A., Hieslmair, H., Weber, E. R. Iron contamination in silicon technology. Appl. Phys. A. 70, 489-534 (2000).
  16. Vollebregt, S., Tichelaar, F. D., Schellevis, H., Beenakker, C. I. M., Ishihara, R. Carbon nanotube vertical interconnects fabricated at temperatures as low as 350 °C. 71, 249-256 (2014).
  17. Kikkawa, T., Inoue, K., Imai, K. Cobalt silicide technology. Silicide Technology for Integrated Circuits. , The Institution of Engineering and Technology. 77-94 (2004).
  18. Vollebregt, S., Ishihara, R., Tichelaar, F. D., Hou, Y., Beenakker, C. I. M. Influence of the growth temperature on the first and second-order Raman band ratios and widths of carbon nanotubes and fibers. Carbon. 50 (10), 3542-3554 (2012).
  19. Lim, S. C., et al. Contact resistance between metal and carbon nanotube interconnects: Effect of work function and wettability. Appl. Phys. Lett. 95 (26), 264103 (2009).
  20. Ferrari, A. C., Robertson, J. Interpretation of Raman spectra of disordered and amorphous carbon. Phys. Rev. B. 61 (20), 14095-14107 (2000).
  21. Awano, Y., et al. Carbon nanotube via interconnect technologies: size-classified catalyst nanoparticles and low-resistance ohmic contact formation. Phys. Status Solidi (a). 203 (14), 3611-3616 (2006).
  22. Van der Veen, M. H., et al. Electrical characterization of CNT contacts with Cu Damascene top contact. Microelectron. Eng. 106, 106-111 (2012).
  23. Horibe, M., Nihei, M., Kondo, D., Kawabata, A., Awano, Y. Mechanical Polishing Technique for Carbon Nanotube Interconnects in ULSIs. Jpn J. App. Phys. 43 (9A), 6499-6502 (2004).
  24. Vollebregt, S., Chiaramonti, A. N., Ishihara, R., Schellevis, H., Beenakker, C. I. M. Contact resistance of low-temperature carbon nanotube vertical interconnects. Proceedings of the 12th IEEE Conference on Nanotechnology. , 424-428 (2012).
  25. Fiorentino, G., Vollebregt, S., Tichelaar, F. D., Ishihara, R., Sarro, P. M. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances. Nanotechnology. 26 (6), 064002 (2015).

Tags

Engineering koolstof nanobuisjes chemische dampafzetting interconnects halfgeleiders katalysator geïntegreerde schakelingen scanning elektronenmicroscopie Raman spectroscopie elektrische karakterisering
Fabricage van Low Temperature koolstof nanobuis Verticale Verbindingen Compatibel met halfgeleidertechnologie
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Vollebregt, S., Ishihara, R.More

Vollebregt, S., Ishihara, R. Fabrication of Low Temperature Carbon Nanotube Vertical Interconnects Compatible with Semiconductor Technology. J. Vis. Exp. (106), e53260, doi:10.3791/53260 (2015).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter