Waiting
Login processing...

Trial ends in Request Full Access Tell Your Colleague About Jove
Click here for the English version

Engineering

Fabrikasjon av lav temperatur karbon nanorør Vertikale Interconnects Kompatibel med Semiconductor Technology

Published: December 7, 2015 doi: 10.3791/53260

Introduction

Kobber og wolfram, metallene som i dag brukes for sammenkoblinger i state-of-the-art svært stor skala integrering (VLSI) teknologi, nærmer sine fysiske grenser når det gjelder pålitelighet og elektrisk ledningsevne en. Mens nedskalering transistorer generelt forbedrer sine prestasjoner, er det faktisk øker motstanden og strømtetthet av forbindelser. Dette resulterte i sammenkoblinger som dominerer integrert krets (IC) ytelse i forhold til forsinkelsen og strømforbruk to.

Karbon nanorør (CNT) er foreslått som alternativ for Cu og W metallise, spesielt for vertikale forbindelser (vias) som CNT kan lett blitt dyrket vertikal tre. CNT har vist seg å ha utmerket elektrisk pålitelighet, slik at en opp til 1000 ganger høyere strømtetthet enn Cu 4. Dessuten, CNT ikke lider av overflaten og korn grensen spredning, er noe som øker resistivity av Cu på nanometer skala 5. Endelig CNT har vist seg å være gode varmeledere 6, noe som kan hjelpe til i den termiske styring i VLSI-chips.

For vellykket integrering av CNT i VLSI-teknologi er det viktig at vekstprosesser for CNT er gjort kompatibel med halvledere. Dette krever den lave temperatur veksten av CNT (<400 ° C) ved bruk av materialer og utstyr som anses kompatible og skalerbar til storskala produksjon. Mens mange eksempler på CNT test vias er påvist i litteraturen 7,8,9,10,11,12,13,14, de fleste av disse bruker Fe som katalysator som regnes som forurensning i IC produksjon 15. Dessuten veksttemperatur brukes i mange av disse arbeider er mye høyere enn den øvre grense på 400 ° C. Fortrinn CNT bør også dyrkes under 350 ° C, for å tillate integrering med moderne lav-κ dielektrika eller fleksibelunderlag.

Her presenterer vi en skalerbar metode for voksende CNT ved temperaturer så lave som 350 ° C ved hjelp Co som katalysator 16. Denne metoden er av interesse for å fabrikkere forskjellige elektriske strukturer som består av vertikalt CNT i integrerte kretser, alt fra interconnect og elektroder til superkondensatorer og feltutslipps enheter. The Co katalysatormetall blir ofte brukt i IC industrien for fremstilling av silisid s 17, mens TiN er en ofte brukt barrieremateriale 7. Videre viser vi en prosess for å fabrikkere CNT test vias mens bare ved hjelp av teknikker fra standard produksjon av halvledere. Med dette er CNT test vias stilles, kontrolleres ved scanning-elektronmikroskopi (SEM) og Raman-spektroskopi, og elektrisk karakteriserte.

Subscription Required. Please recommend JoVE to your librarian.

Protocol

Forsiktig: Sjå alle relevante sikkerhetsdatablad (MSDS) før bruk. Flere av kjemikaliene som brukes i denne fabrikasjon prosessen er akutt giftige og kreftfremkallende. Nanomaterialer kan ha ekstra risiko i forhold til deres bulk motstykke. Vennligst bruk alle nødvendige sikkerhetsrutiner ved arbeid med utstyr, kjemikalier eller nanomaterialer, herunder bruk av tekniske kontroller (avtrekk) og personlig verneutstyr (vernebriller, hansker, renrom klær).

1. Justering Marker definisjon for Litografi

  1. Start med ensidig polert industriell karakter Si (100) wafere med enten n eller p-type doping.
  2. Coat wafer med 1,4 mikrometer av positiv fotoresist. Utføre en 90 sek heksametyldisilazan (HMDS) behandling ved 130 ° C for å fremme adhesjon av fotoresist, etterfulgt av avkjøling av skiven på en kald plate, spin-coating på passende hastighet (3500 rpm), og en 90 sek myk bake ent 95 ° C.
  3. Ved hjelp av en foto-litografi maske og eksponering verktøy utsett av justeringsmerkene, eksponering dose 120 mJ / cm 2.
  4. Utfør en enkelt dam utviklingsprosess. Utføre en 90 sek 115 ° C etter eksponering bake, etterfulgt av 60 sek utvikling ved hjelp av fremkaller og 90 sek vanskelig stek ved 100 ° C for å herde motstå.
  5. Bruke et mikroskop for å kontrollere om åpningene i resisten er av riktige dimensjoner.
  6. Etse 120 nm av Si med klor plasma etsing. Dette tykkelse gir god kontrast til de automatiske justerings systemer av eksponeringen verktøy som brukes i dette arbeidet. For eksempel ved hjelp av et induktivt koblet plasma (ICP): 20/40 sccm O 2 / CF 4, 5 mTorr, 60/500 W glassplaten / ICP RF-effekt, 10 sek oksyd gjennombrudd etsing, fulgt av 80/40 sccm Cl 2 / HBr 60 mTorr, 20/500 W platen / ICP RF power, 35 sek Si etse.
  7. Bruk en oksygen plasma stripper for å fjerne fotoresist (1 kW, 400 SCCM O 2 med endpoint deteksjon og 2 min overetch). Som fotoresisten er herdet ved plasma normal løsningsmiddel som aceton, kan ikke brukes.
  8. Rengjør wafere. Først setter dem i 10 min i 99% HNO 3, etterfulgt av skylling i DI-vann til resistiviteten i vannet er 5 Megohm (organisk ren). Etter dette rene wafere i 10 minutter i 65% HNO3 ved 110 ° C, etterfulgt av skylling med avionisert vann inntil resistiviteten i vannet er 5 Megohm (metall ren). Bruk en Rinser tørketrommel å tørke wafere.

2. Bunn Metal og mellomlag Dielektrisk Nedfall

  1. Bruk sputtering å avsette det nederste metallaget av forsøks via. En bunke tre metall lag som må deponeres: 500 nm Ti, 50 nm av TiN, og 100 nm Ti. Den første Ti lag er å redusere motstanden av stabelen, er det TiN selve bærelaget for CNT vekst, og toppen Ti er å beskytte TiN mot plasma skader når etsning SiO 2 lag 12 N2, igjen ved 350 ° C overflatetemperatur.
  2. Ved hjelp av plasma-forbedret kjemisk damp deponering (PECVD), sette en 1 mikrometer tykt lag med SiO 2. Her tetraetylortosilikat (TEOS) er brukt som forløper ved en platetemperatur på 350 ° C.
    1. Kontroller tykkelsen av SiO to lag ved hjelp av et egnet verktøy, for eksempel et reflektometer eller ellipsometer.
  3. Coat wafer med 1,4 um av positiv fotoresist, som starter med en 90 sek HMDS behandling ved 130 ° C, etterfulgt av avkjøling av skiven på en kald plate, spin-coating på passende hastighet (3500 rpm), og en 90 sek myk bake ved 95 ° C.
  4. Ved hjelp av en foto-litografi maske og utsettelse redskap, eksponere det ønskede mønster av åpninger, som senere vil bli etset inn i SiO 2 to danne vias, justert etter de justeringsmerkene, eksponering dose 140 mJ / cm 2.
  5. Utføre en enkelt dam utvikling prosess som starter med en 90 sek 115 ° C etter eksponering bake, etterfulgt av 60 sek utvikling ved hjelp av fremkaller og 90 sek vanskelig stek ved 100 ° C.
  6. Bruke et mikroskop for å kontrollere om åpningene i resisten er av riktige dimensjoner, og hvis overlegget til justeringsmerkene er korrekt.
  7. Plasma etse kontakt åpninger i SiO 2. For eksempel bruke en triode plasma etcher med C 2 F 6 / CHF 3 36/144 SCCM ved 180 mTorr og 300 W RF power. Hvis det er nødvendig, å utføre etsehastigheten tester på en testplate for å minimalisere i løpet av etsing til 5% -10% i tid.
    Merk: Selv om Ti er motstandsdyktig mot etsing reaktivt i denne fluor kjemi, vil langvarig eksponering for plasma resulterer i fysisk etsing av Ti lag. Dersom TiN lag eksponeres til plasmaet vil dette ha en negativ influence på veksten av CNT 12. Ikke bruk våtetsing da dette vil resultere i for stor utvidelse av åpningene, slik at den øverste metalliseringen i del 4 problematisk.
  8. Fjern offer Ti laget ved våtetsing i 0,55% HF i 60 sek. Etter etsning skyll wafere med DI vann til vannet resistivitet er 5 Megohm og bruke en Rinser tørketrommel tørke de wafere.
    Merk: Ved hjelp av et mikroskop kan det bli sjekket om Ti lag er etset, vil TiN lag har en gull-brun farge, mens Ti er metallic grå.

3. Catalyst Nedfall og CNT Vekst

  1. Fordampe 5 nm fra Co bruke en e-bjelke fordamperen. Pumpe ned til minst 2x10 -6 Torr, og varme opp skivene til 60 ° C ved hjelp av lampene under vakuum før innskudd for å fjerne vannfilmen. Foto-motstå brukes til å definere kontaktåpningene holdes på wafer å gi selv justering av katalysatoren til kontakt åpninger i SiO 2.
  2. FjerneCo utenfor kontakt åpninger av lift-off. For Co ble det funnet at tetrahydrofuran (THF) gir de beste lift-off resultater og vekst ved lave temperaturer. N-metyl-2-pyrrolidon (NMP), som tidligere ble brukt for lift-off Fe etter fordamping ble funnet å skade Co også en slik grad for å forhindre enhver justert CNT-vekst. Sette skiven i 15 minutter i et ultralydbad med THF ved 35 ° C. Skyll med DI-vann i 5 minutter og tørkes ved hjelp av en spinner eller nitrogen pistol.
  3. Inspisere wafer under et mikroskop og se etter motstå rester. Dersom rester forblir utføre en lengre ultralydsbehandling i THF, og eventuelt å anvende en spesiell myk vattpinne for lift-off formål for manuelt å tørke vekk rester.
  4. Utfør CNT vekst ved hjelp av lavtrykk kjemisk damp deponering (LPCVD). Bruke følgende oppskrift: 8 min pre-anneal ved 350 ° C med 700 sccm H2 ved 80 mbar, etterfulgt av CNT vekst ved tilsetning av 50 sccm C 2 H 2. Ved 350 ° C, 60min av vekst gir omtrent 1 mikrometer av CNT. Hvis det er nødvendig å utføre en test for vekst for å justere høyden, noe som bør være den samme tykkelse som SiO to lag. Kjøle ned reaktoren og rense bruker N 2.
  5. Bruk et scanning elektronmikroskop for å kontrollere høyden av CNT inne i åpningene under 45 ° helling, eller ved å fremstille et tverrsnitt.
  6. Undersøke prøven ved å bruke Raman-spektroskopi for å bestemme krystalliniteten av CNT 18.

4. Topside Metallisering

  1. Bruk sputtering å sette toppen metall. Ti som er en god metall for å kontakte CNT 19, først frese 100 nm av Ti, etterfulgt av 2 um av Al (1% Si) uten å bryte vakuumet.
  2. Coat wafer med 3,1 um positiv fotoresist med høyere viskositet, som starter med en 90 sek HMDS behandling ved 130 ° C, etterfulgt av avkjøling av skiven på en kald plate, spin-coating ved 3000 rpm, og en 90 sek myk stek ved 95° C.
  3. Ved hjelp av en foto-litografi maske og eksponering verktøy utsett toppen metall mønster justert til justeringsmerkene, eksponering dose 420 mJ / cm 2, fokus på -1.
  4. Utfør en enkelt dam utviklingsprosess. Det starter med en 90 sek 115 ° C etter eksponering bake, etterfulgt av 60 sek utvikling ved hjelp av fremkaller og 90 sek vanskelig stek ved 100 ° C.
  5. Bruke et mikroskop for å kontrollere om linjene i resisten er av riktige dimensjoner, og hvis overlegget til markørene er korrekt.
  6. Etse Ti / Al stabel med klor plasma etsing. For eksempel bruker en induktiv koplet plasma: 30/40 SCCM Cl 2 / HBr, 5 mTorr, 40/500 W Platen / ICP RF power med endepunkt gjenkjenning og 80% overetch bruker 15/30 SCCM Cl 2 / HBr.
  7. Bruk en oksygen plasma stripper for å fjerne fotoresist (1 kW, 400 SCCM O 2 med endepunkt gjenkjenning og 2 min overetch). Hvis metallet dekningen ikke er fullstendig (dvs. det finnes pinholes rundtCNT) å bruke et organisk løsningsmiddel (f.eks, NMP) til å fjerne det fotoresistente for å forhindre skade på plasma CNT.
  8. Rengjør wafere. Sette dem i 10 min i 99% HNO 3, etterfulgt av skylling med avionisert vann inntil resistiviteten i vannet er 5 Megohm (organisk ren). Bruk en Rinser tørketrommel å tørke wafere.

5. Målinger

  1. Bruk en scanning elektronmikroskop i henhold til produsentens instruksjoner for å sjekke toppen metallise av wafere.
    Merk:. Om nødvendig skiven mekanisk kan spaltes for å kontrollere hele CNT via anvendelse av en prøve helning på 90 °, noe som resulterer i bilder som vist på figur 3 så prøvene er elektrisk ledende ingen ekstra behandlingstrinn har til å bli brukt, og prøvene kan monteres direkte inn i SEM. Generelt kan høye akselerasjonsspenning på 15 kV eller 20 brukes, men hvis SiO to lag lades opp for mye av dette kan reduseres to 5 kV.
  2. Utfør 4-punkts sonde IV målinger ved hjelp av en sonde stasjon i kombinasjon med en halvleder parameter analysator som beskrevet i figur 1 og i Vollebregt et al., 16.
    Merk: Normalt en spenningssveip fra -0,5 til 0,5 V er tilstrekkelig, da spenningsfallet over en sammenkoblings ideelt sett er små. Ved å anvende en 4-punkts sonde oppsett kontaktmotstanden av sonden nåler og trådmotstanden i oppsettet er utelatt.

Subscription Required. Please recommend JoVE to your librarian.

Representative Results

Utformingen av målestrukturen som brukes i dette arbeidet kan finnes i figur 1. Ved anvendelse av en slik struktur måling av CNT bunt motstand og de ​​metall CNT motstander kan bestemmes nøyaktig, og probe og trådmotstander blir omgått. Motstanden av bunten er et mål for kvalitet og tetthet av CNT bunten. For å bestemme kontaktmotstanden bunter av forskjellig lengde måles.

En typisk SEM-bilde av CNT dyrket ved 350 ° C i 60 minutter tas fra toppen før metalliseringen ved 45 ° tilt er vist i figur 2. Et slikt bilde er nyttig for å kontrollere om veksten tidspunktet for CNT er riktig innstilt for å kunne få samme lengde som tykkelsen av SiO to lag. Et tverrsnitt fremstilt ved mekanisk spalte inspisert av SEM av den samme skiven etter metalliseringen er vist i figur 3. Dette kan be anvendt for å bestemme justeringen av CNT, deres tetthet (for eksempel være å telle antallet CNT per lengdeenhet), og hvis en høy oppløsning SEM blir brukt til å bestemme deres diameter. Også kontaktarealet mellom CNT og metallagene kan undersøkes.

Raman-spektrene for Co-dyrket CNT ved 350 ° C er vist i figur 4. Raman-spektroskopi er en kraftfull teknikk for å undersøke krystalliniteten av CNT 18, og kan for eksempel brukes til å optimalisere de CNT vekstparametre for å oppnå det høyeste kvalitet CNT. IV målinger ble utført ved hjelp av fire punkts probe strukturer og vises i figur 5. Når IV atferd er lineær indikerer det ohmsk kontakt mellom CNT og metallkontaktene. Fra skråningen den elektriske motstanden kan bestemmes. Fra motstanden og dimensjonen av buntene resistiviteten kan beregnes, noe som for disse CNT bunter blir sammenlignetlitteraturen i figur 6.

Figur 1
Figur 1. Utforming av fire-punkts sonde målestrukturen som brukes i dette arbeidet. I figuren det mørke gule laget angir TiN, de sorte rørene CNT bunter, og det metalliske laget av Ti og Al stabelen. Offer Ti laget er utelatt for klarhet, og oksydet er delvis gjennomsiktig. Sonde tilkoblinger for elektriske 4-punkts probe målinger er angitt. Klikk her for å se en større versjon av dette tallet.

Figur 2
Figur 2. Top-view SEM bilde av en CNT bunt. Dette viser en 2 mikrometer brede CNT bunt vokst i en kontaktåpning som ble etset inniSiO 2. Dette tallet har blitt forandret fra 16, med tillatelse fra Elsevier. Klikk her for å se en større versjon av dette tallet.

Figur 3
Figur 3. SEM tverrsnitt av CNT via. Tverrsnitt av en 2 mikrometer brede og 1 mikrometer lang CNT test via forberedt med mekanisk spalte etter metallise. Dette tallet har blitt forandret fra 16, med tillatelse fra Elsevier. Klikk her for å se en større versjon av dette tallet.

Figur 4
Figur 4. Raman-spektrum av en bunt CNT dyrket ved hjelp av en Co t 350 ° C. Navnene på Raman båndene er indikert. Den svarte kurven viser rå måledata. For alle band en Lorentzian montering utføres (grønn stiplet kurver), med unntak av D 'band som er utstyrt med en Gaussian 18. Klikk her for å se en større versjon av dette tallet.

Figur 5
Figur 5. IV målinger av CNT test vias med ulik diameter. Symbolene som representerer måledataene, mens den heltrukne linjen angir en lineær minste kvadraters tilpasnings til måledataene. De elektriske motstandene til de forskjellige vias som bestemmes fra helningen av det lineære montering er angitt. Dette tallet har blitt forandret fra 16, med tillatelse fra Elsevier.tp_upload / 53260 / 53260fig5large.jpg "target =" _ blank "> Klikk her for å se en større versjon av dette tallet.

Figur 6
Figur 6. Sammenligning av CNT bunt resistivitet med verdier fra litteraturen. Motstandsevnen beregnes fra motstanden og via dimensjoner. Det er sammenlignet med verdier fra litteraturen, og CNT vias stilles ved forskjellige temperaturer ved hjelp av metoden beskrevet i dette arbeidet. Dette tallet har blitt forandret fra 16, med tillatelse fra Elsevier. Klikk her for å se en større versjon av dette tallet.

Subscription Required. Please recommend JoVE to your librarian.

Discussion

Figur 1 viser en skjematisk oversikt over konstruksjonen fremstilt i dette arbeidet, og som ble anvendt for 4-punkts sonde-målinger. Etter hvert som potensialet måles gjennom prober bærer ingen strøm, den nøyaktige spenningsfallet (V H -V L) over den sentrale bunt CNT og dets kontakter til metallet måles. Større diameter CNT bunter anvendes for å kontakte den nederste TiN laget fra kontaktflatene, for å redusere den totale motstand for den aktuelle tvinger sondene og utnytte potensialet fallet over den sentrale bunt CNT.

Som det kan ses fra figur 2, ble CNT hell dyrket på innsiden av åpninger etset i SiO 2 med en lengde omtrent den samme som dybden av hullet (1 um). Det er viktig at lengden av CNT er omtrent den samme som dybden av hullet, for å oppnå konformt belegg av den øvre metallkontakt. Buntene vises uniform, noe som også bidrar i konformt belegg av metallet. Retthet og vertikal innretting av rørene kan tydelig ses i tverrsnittet vist i figur 3. Ved å telle, ble tettheten av CNT bunt anslått å være omtrent 5x10 10 rør / cm 2. Ved hjelp av transmisjonselektronmikroskopi den gjennomsnittlige diameter av rørene ble funnet å være 8 nm, som ble vist andre steder 16. På grunn av den lave veksttemperaturer CNT veggene inneholde mange feil gjør bestemme antall vegger vanskelige. Rørene synes å ha en hul kjerne, selv om bambuskryssinger har blitt observert. Tverrsnittet viser også det nederste lag TiN, og offer Ti lag som er delvis fjernet under SiO2 under våtetsing. Dersom åpningene er plassert lukket sammen etsetid av offer Ti lag kan måtte bli optimalisert for å minimalisere underetch for å forhindre delaminering oksyd. På grunn av den tørre etsing av hullet,avstanden mellom SiO 2 og CNT bunt er minimal, noe som er viktig for å hindre den freste Ti og Al dannes kortslutning rundt CNT bunten.

Ved hjelp av Raman data krystalliniteten (eller kvalitet) av CNT kan undersøkes. Som de ulike Raman band er nær hver-andre dekonvolvering av bandene er nødvendig, slik det er beskrevet andre steder 18. Fra Raman dataene på figur 4 er det klart at en sterk D og D 'band kan observeres, som er forårsaket av Raman spredning med defekter, mens G båndet er knyttet til CC bindingen. De to andre band er svake Raman funksjoner som er inkludert for mer nøyaktig tilpasning.

Det er kjent at en lav veksttemperatur generelt resulterer i en lavere kvalitet CNT 18. Vanligvis D G i løpet av intensitetsforholdet (I D / G) brukes for å vurdere kvaliteten av grafittiske materialer, som er 1,1 i figur 4. As har blino vist ved for eksempel Ferrari og Robertson 20, har omsorg for å bli tatt når du bruker bare dette bandet forholdet. Med økende kvalitet CNT, første I D / G-forholdet øker, inntil en viss grad av krystallisasjon er nådd, hvoretter forholdet avtar monotont. På grunn av den meget lav veksttemperatur, CNT i dette arbeidet synes å ha en krystallinitet under denne terskelen 16. I disse tilfellene full bredde ved halvparten av den maksimale D båndet kan brukes til å sammenligne CNT prøvene fremstilt ved forskjellige prosessbetingelser 18. Det kan forventes at den lave kvalitet på CNT vil i betydelig grad påvirke den elektriske ytelse.

Ut fra nesten fullstendig lineær oppførsel av IV egenskaper i figur 5, kontaktene mellom CNT og de ​​øvre og nedre metallagene er ohmsk. Motstanden av bunten avtar med en diameter, som er å forvente som mer CNT kan utføre i Parallel for større pakker. Den gode kontakten mellom CNT og de ​​metaller som er knyttet til bruken av Ti 19, og TiN som er mer motstandsdyktige mot oksidasjon 21. Dessuten har vi funnet at på grunn av mangel på noen dielektriske dekk trinnene av CNT etter vekst (ved bruk av f.eks spin-on glass), noe som ofte brukes i litteraturen i forbindelse med kjemisk mekanisk polering (CMP) 22,23, kontaktmotstanden til CNT er lav på grunn av innebygging av de CNT tips i den øverste 24 av metall.

Ved sammenligning av de spesifikke motstander for CNT bunter med litteraturen, slik det gjøres i figur 6, er resultatene av de midlere verdier i litteraturen. Men veksten temperatur som brukes i dette arbeidet er rekordlavt. Resultatene av Yokoyama et al., 13 er den laveste spesifikke motstand er rapportert i litteraturen, ved hjelp av bare en 40 ° C høyere veksttemperatur. Det utstyr som brukes for Co particle deponering i arbeidet deres er sannsynligvis ikke skalerbar til store volum produksjon. Klart den spesifikke motstand avtar med økende veksttemperatur, noe som kan være fordelaktig for anvendelse som tillater høyere veksttemperatur. Når man sammen resistivitet CNT bunter med tradisjonelle interconnect metaller som Cu (1,7 μΩ-cm), er det klart at en drastisk reduksjon av den spesifikke motstand er nødvendig. Å forbedre kvaliteten på CNT og bunten tetthet, ved å optimalisere vekstbetingelser, vil være nødvendig. Dette må gjøres uten å øke veksttemperatur, for å tillate integrering med moderne lav-κ materialer og fleksibelt underlag.

Vi har derfor vist en teknikk for å integrere lav temperatur CNT vekst og integrering i standard halvledere. Denne teknikken har blitt brukt til å fremstille CNT via teststrukturer og har nylig blitt anvendt for fabrikasjon av CNT superkondensatorer 25 </ sup>.

Subscription Required. Please recommend JoVE to your librarian.

Materials

Name Company Catalog Number Comments
Si (100) wafer 4" International Wafer Service Resisitivity: 2-5 mΩ-cm, thickness: 525 µm 
Ti-sputter target (99.995% purity) Praxair
Al (1% Si)-sputter target (99.999% purity) Praxair
Co (99.95% purity) Kurt J. Lesker
SPR3012 positive photoresist Dow Electronic Materials
MF-322 developer Dow Electronic Materials
HNO3 (99.9%) KMG Ultra Pure Chemicals
HNO3 (69.5%) KMG Ultra Pure Chemicals
HF 0.55% Honeywell
Tetrahydrofuran JT Baker
Acetone Sigma-Aldrich
ECI3027 positive photoresist AZ
Tetraethyl orthosilicate (TEOS) Praxair
N2 (99.9990%) Praxair
O2 (99.9999%) Praxair
CF4 (99.9970%) Praxair
Cl2 (99.9900%) Praxair
HBr (99.9950%) Praxair
Ar (99.9990%) Praxair
C2F6 (99.9990%) Praxair
CHF3 (99.9950%) Praxair
H2 (99.9950%) Praxair
C2H2 (99.6000%) Praxair
EVG 120 coater/developer EVG
ASML PAS5500/80 waferstepper ASML
SPTS Ωmega 201 plasma etcher SPTS Used for Si and metal etching
SPTS Σigma sputter coater SPTS
Novellus Concept One PECVD LAM
Drytek 384T plasma etcher LAM Used for oxide etching
CHA Solution e-beam evaporator CHA
AIXTRON BlackMagic Pro CVD tool AIXTRON Carbon nanotube growth
Philips XL50 scanning electron microscope FEI
Tepla 300 PVA TePla Resist plasma stripper
Avenger rinser dryer Microporcess Technologies
Leitz MPV-SP reflecometer Leitz
Renishaw inVia Raman spectroscope Renishaw
Agilent 4156C parameter spectrum analyzer Agilent
Cascade Microtech probe station Cascade Microtech

DOWNLOAD MATERIALS LIST

References

  1. International Technology Roadmap for Semiconductors. , Available from: http://public.itrs.net (2013).
  2. Sun, S. C. Process technologies for advanced metallization and interconnect systems. Technical digest of the IEEE International Electron Devices Meeting. , 765-768 (1997).
  3. Robertson, J. Growth of nanotubes for electronics. Mater. Today. 10 (1-2), 36-43 (2007).
  4. Wei, B. Q., Vajtai, R., Ajayan, P. M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 79 (8), 1172-1174 (2001).
  5. Rossnagel, S. M., Wisnieff, R., Edelstein, D., Kuan, T. S. Interconnect issues post 45nm. Technical digest of the IEEE International Electron Devices Meeting. , 89-91 (2005).
  6. Pop, E., Mann, D., Wang, Q., Goodson, K., Dai, H. Thermal Conductance of an Individual Single-Wall Carbon Nanotube above Room Temperature. Nano Lett. 6 (1), 96-100 (2006).
  7. Chiodarelli, N., et al. Measuring the electrical resistivity and contact resistance of vertical carbon nanotube bundles for application as interconnects. Nanotechnology. 22 (8), 085302 (2011).
  8. Choi, Y. -M., et al. Integration and Electrical Properties of Carbon Nanotube Array for Interconnect Applications. Proceedings of the Sixth IEEE Conference on Nanotechnology. , 262-265 (2006).
  9. Dijon, J., et al. Ultra-high density Carbon Nanotubes on Al-Cu for advanced Vias. Technical digest of the IEEE International Electron Devices Meeting. , 33-34 (2010).
  10. Kreupl, F., et al. Carbon nanotubes in interconnect applications. Microelectron. Eng. 64 (1-4), 399-408 (2002).
  11. Vereecke, B., et al. Characterization of carbon nanotube based vertical interconnects. Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials. , 648-649 (2012).
  12. Vollebregt, S., Ishihara, R., Derakhshandeh, J., vander Cingel, J., Schellevis, H., Beenakker, C. I. M. Integrating low temperature aligned carbon nanotubes as vertical interconnects in Si technology. Proceedings of the 11th IEEE Conference on Nanotechnology. , 985-990 (2011).
  13. Yokoyama, D., et al. Electrical Properties of Carbon Nanotubes Grown at a Low Temperature for Use as Interconnects. Jpn J. App. Phys. 47 (4), 1985-1990 (2008).
  14. Van der Veen, M. H., et al. Electrical Improvement of CNT Contacts with Cu Damascene Top Metallization. Proceedings of the IEEE International Interconnect Technology Conference. , 193-195 (2013).
  15. Istratov, A. A., Hieslmair, H., Weber, E. R. Iron contamination in silicon technology. Appl. Phys. A. 70, 489-534 (2000).
  16. Vollebregt, S., Tichelaar, F. D., Schellevis, H., Beenakker, C. I. M., Ishihara, R. Carbon nanotube vertical interconnects fabricated at temperatures as low as 350 °C. 71, 249-256 (2014).
  17. Kikkawa, T., Inoue, K., Imai, K. Cobalt silicide technology. Silicide Technology for Integrated Circuits. , The Institution of Engineering and Technology. 77-94 (2004).
  18. Vollebregt, S., Ishihara, R., Tichelaar, F. D., Hou, Y., Beenakker, C. I. M. Influence of the growth temperature on the first and second-order Raman band ratios and widths of carbon nanotubes and fibers. Carbon. 50 (10), 3542-3554 (2012).
  19. Lim, S. C., et al. Contact resistance between metal and carbon nanotube interconnects: Effect of work function and wettability. Appl. Phys. Lett. 95 (26), 264103 (2009).
  20. Ferrari, A. C., Robertson, J. Interpretation of Raman spectra of disordered and amorphous carbon. Phys. Rev. B. 61 (20), 14095-14107 (2000).
  21. Awano, Y., et al. Carbon nanotube via interconnect technologies: size-classified catalyst nanoparticles and low-resistance ohmic contact formation. Phys. Status Solidi (a). 203 (14), 3611-3616 (2006).
  22. Van der Veen, M. H., et al. Electrical characterization of CNT contacts with Cu Damascene top contact. Microelectron. Eng. 106, 106-111 (2012).
  23. Horibe, M., Nihei, M., Kondo, D., Kawabata, A., Awano, Y. Mechanical Polishing Technique for Carbon Nanotube Interconnects in ULSIs. Jpn J. App. Phys. 43 (9A), 6499-6502 (2004).
  24. Vollebregt, S., Chiaramonti, A. N., Ishihara, R., Schellevis, H., Beenakker, C. I. M. Contact resistance of low-temperature carbon nanotube vertical interconnects. Proceedings of the 12th IEEE Conference on Nanotechnology. , 424-428 (2012).
  25. Fiorentino, G., Vollebregt, S., Tichelaar, F. D., Ishihara, R., Sarro, P. M. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances. Nanotechnology. 26 (6), 064002 (2015).

Tags

Engineering Karbon nanorør kjemisk damp deponering sammenkoblinger halvledere katalysator integrerte kretser scanning elektronmikroskopi Raman-spektroskopi elektrisk karakterisering
Fabrikasjon av lav temperatur karbon nanorør Vertikale Interconnects Kompatibel med Semiconductor Technology
Play Video
PDF DOI DOWNLOAD MATERIALS LIST

Cite this Article

Vollebregt, S., Ishihara, R.More

Vollebregt, S., Ishihara, R. Fabrication of Low Temperature Carbon Nanotube Vertical Interconnects Compatible with Semiconductor Technology. J. Vis. Exp. (106), e53260, doi:10.3791/53260 (2015).

Less
Copy Citation Download Citation Reprints and Permissions
View Video

Get cutting-edge science videos from JoVE sent straight to your inbox every month.

Waiting X
Simple Hit Counter